卢智博开题报告.doc

卢智博开题报告.doc

ID:59151869

大小:21.51 KB

页数:2页

时间:2020-10-30

卢智博开题报告.doc_第1页
卢智博开题报告.doc_第2页
资源描述:

《卢智博开题报告.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、附件5:内蒙古工业大学本科生毕业设计(论文)开题报告学生姓名卢智博学号200881204114班级自(电)08-1指导教师郜继红职称/学位副教授/本科题目名称基于CPLD/FPGA的简易电子琴设计题目类型装备装置类题目的意义、目的:当前市场上的电子琴产品升级换代时,必须更新大部分的硬件,影响了产品的摧广和适应市场的能力。而且市场上大多数电子琴靠传统的硬件设计方法来实现其功能,这样很难降低成本。CPLD/FPGA技术的出现及迅速发展,为利用软件实现较大规模的数字电路设计提供了方便。基于CPLD/FPGA的简易电子琴设计易于音准的调校,可以

2、有效的防止设计程序被盗用,降低了数字电路的设计周期和产品的成本。设计(研究)主要内容及方案:1.自动演奏模块(automusic)的设计为了实现扩展部分的设计,便需要多加上一个音乐存储模块,该模块的作用是产生8位发声控制输入index,auto为0或1时可以选择自动演奏或者键盘输入,如果auto为0,则而由存储在此模块中的8位二进制数来作为发声控制输入,由此便可自动演奏乐曲。此模块的VHDL语言中包括两个进程,首先是对基准脉冲进行分频得到4Hz的脉冲,作为第二个进程的时钟信号,它的目的是控制每个音阶之间的停顿时间,此处便是1/4=0.2

3、5s,第二个进程是音乐的存储,可根据需要编写不同的乐曲2.音调发生器(tone)模块的设计音调发生器的作用是产生获得音阶的分频预置值。当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将以端口tone输出,作为获得该音阶的分频预置值,该值作为数控分频器的输入,来对4MHz的脉冲进行分频,由此得到每个音阶相应的频率,例如输入index="00000010",即对应的按键是2,产生的分频系数便是6809;由code输出对应该音阶简谱的显示数码;由high输出指示音阶高8度的显示,低电平有效。3.数控分频模块(speake

4、r)的设计数控分频模块的目的是对基准脉冲分频,得到1,2,3,4,5,6,7七个音符对应频率。该模块的VHDL描述中包含了三个进程。首先对32MHz的基准脉冲进行分频得到8MHz的脉冲,然后按照tone1输入的分频系数对4MHz的脉冲再次分频,得到的便是所需要的频率。而第三个进程的作用是在音调输出时再进行二分频,将脉冲展宽,以使扬声器有足够功率发音。工作进度安排(具体):1、第一周到第四周学习qartus2或maxplus2d等相关软件的使用方法及其编程环境,学习利用VHDL硬件描述语言实现系统功能的编程技巧或C语言实现系统功能的变成技

5、巧。理解电子琴的的工作原理以及音阶与频率的关系。学习VHDL语言自顶而下的设计方法。2、第五周到第六周查阅有关文献资料,进行可行性方案分析,确定系统设计方案和相关程序设计流程,绘制系统框图。3、第七周到第八周基于FPGA简易电子琴的设计,完成自动演奏模块(automusic)的设计。4、第九周到第十周基于FPGA简易电子琴的设计,完成音调发生器(tone)模块的设计。5、第十一周到第十二周基于FPGA简易电子琴的设计,完成数控分频模块(speaker)的设计。6、第十三周到第十五周开始整理资料,完成毕业设计论文的撰写。7、第十六周完成毕

6、业答辩工作。指导教师意见:指导教师签名:年月日注:表格根据所填内容可进行调整,可多页。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。