实验一简单组合逻辑电路的设计.docx

实验一简单组合逻辑电路的设计.docx

ID:58861017

大小:254.99 KB

页数:4页

时间:2020-09-22

实验一简单组合逻辑电路的设计.docx_第1页
实验一简单组合逻辑电路的设计.docx_第2页
实验一简单组合逻辑电路的设计.docx_第3页
实验一简单组合逻辑电路的设计.docx_第4页
资源描述:

《实验一简单组合逻辑电路的设计.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验一简单组合逻辑电路的设计一、实验要求1、用verilogHDL语言描写出简单的一位数据比较器及其测试程序2、用测试程序对比较器进行波形仿真测试;画出仿真波形3、总结实验步骤和实验结果二、实验原理与内容这是一个可综合的数据比较器,很容易看出它的功能是比较数据a与数据b,如果两个数据相同,则给出结果1,否则给出结果0,。在verilogHDL中,描述组合逻辑时常用assign结构。注意equal=(a==b)?1:0,这是一种在组合逻辑实现分支判断时常使用的格式。模块源代码://--------------------compar

2、e---------modulecompare(equal,a,b);inputa,b;outputequal;assignequal=(a==b)?1:0;endmodule测试模块用于检测模块设计的正确与否,它给出模块的输入信号,观察模块的内部信号和输出信号,如果发现结果与预期的有所偏差,则要对设计模块进行修改。测试模块源代码:`timescale1ns/1nsmodulecomparetest;rega,b;wireequal;initialbegina=0;b=0;#100a=0;b=1;#100a=1;b=1;#100a

3、=1;b=0;#100$stop;endcomparecompare1(.equal(equal),.a(a),.b(b));endmodule三、实验步骤1、新建2、输入源程序3、编译无误后仿真1)第一个模块(compare)(1)simulateà赋值(2)addtowaveàsignalstodesign(3)run(波形仿真)2)第二个模块(comparetest)(1)设置simulate(add)(2)simulateàaddtowaveàsignalstodesign(3)run(波形仿真)四、实验结果通过实验,用v

4、erilogHDL语言描写出简单的一位数据比较器及其测试程序并且通过用测试程序对比较器进行波形仿真测试、画出仿真波形。完成实验要求,达到试验目的,实验结果正确。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。