EDA-课程设计报告书.doc

EDA-课程设计报告书.doc

ID:58819609

大小:62.00 KB

页数:12页

时间:2020-10-25

EDA-课程设计报告书.doc_第1页
EDA-课程设计报告书.doc_第2页
EDA-课程设计报告书.doc_第3页
EDA-课程设计报告书.doc_第4页
EDA-课程设计报告书.doc_第5页
资源描述:

《EDA-课程设计报告书.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《电子设计自动化EDA》课程设计报告书学号:班级:自动化081姓名:陈婷指导教师:刘伟目录一、设计思想2二、设计步骤3三、调试过程8四、结果分析10五、心得体会11六、参考文献11一、设计思想(一)、设计要求1、具有以24小时制时、分、秒记时、显示功能。2、具有整点报时功能,整点报时的同时LED花样显示。3、具有消零,调节小时,分钟功能。4、设计精度要求为1s。(二)、系统功能描述1.、系统输入:调时、调分,清零信号,分别用按键开关SETHOUR、SETMIN、RESET控制;计数时钟信号CLK采用2HZ时钟源,扫描时钟信号CLKDSP采用

2、32HZ时钟源或更高;2、系统输出:8位八段共阴极数码管显示输出;LED花样显示输出;3、系统功能详细描述:计时:正常工作状态下,每日按24小时计时制,蜂鸣器无声,逢整点报时。显示:要求采用扫描显示方式驱动8位8段数码管显示。整点报时:蜂鸣器在“51”、“53”、“55”、“57”、“59”秒发音,结束时为整点;校时:在计时状态下,按下按键SETMIN设定分钟,按下按键SETHOUR设定小时。(三)设计思路1、分别写出六进制、十进制、二十四进制、清零、设置时分、LED译码部分,在主体部分用元件例化语句计时,清零设置时分、LED译码,再加上扫

3、描模块2、将六进制、十进制、二十四进制、清零、设置时分、LED译码、扫描模块分模块写在一个主中(四)系统电路结构框图二、设计步骤(一)各种进制的计时及时钟控制模块程序1、6进制libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter6isport(clk,reset,set:instd_logic;ain:instd_logic_vector(3downto0);aout:outstd_logic_vector(3downto0);

4、co:outstd_logic);endcounter6;architectureart2ofcounter6issignalcount:std_logic_vector(3downto0);beginprocess(clk)beginif(clk'eventandclk='1')thenif(reset='0')thencount<="0000";elsif(set='1')thencount<=ain;elsif(count="0101")thencount<="0000";co<='1';elsecount<=count+1;co<=

5、'0';endif;endif;endprocess;aout<=count;endart2;2、10进制libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter10isport(clk,reset,set:instd_logic;ain:std_logic_vector(3downto0);aout:outstd_logic_vector(3downto0);co:outstd_logic);endcounter10;archit

6、ectureart1ofcounter10issignalcount:std_logic_vector(3downto0);beginprocess(clk)beginif(clk'eventandclk='1')thenif(reset='0')thencount<="0000";elsif(set='1')thencount<=ain;elsif(count="1001")thencount<="0000";co<='1';elsecount<=count+1;co<='0';endif;endif;endprocess;aout<=c

7、ount;endart1;3、24进制ibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter24isport(clk,reset,set:instd_logic;ainh:instd_logic_vector(3downto0);ainl:instd_logic_vector(3downto0);aout:outstd_logic_vector(7downto0));endcounter24;architectureart3ofco

8、unter24issignalcount:std_logic_vector(7downto0);beginprocess(clk)beginif(clk'eventandclk=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。