第2章 Verilog 语言规则ppt课件.ppt

第2章 Verilog 语言规则ppt课件.ppt

ID:58709024

大小:257.50 KB

页数:47页

时间:2020-10-04

第2章 Verilog 语言规则ppt课件.ppt_第1页
第2章 Verilog 语言规则ppt课件.ppt_第2页
第2章 Verilog 语言规则ppt课件.ppt_第3页
第2章 Verilog 语言规则ppt课件.ppt_第4页
第2章 Verilog 语言规则ppt课件.ppt_第5页
资源描述:

《第2章 Verilog 语言规则ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第9章Verilog语言规则9.1文字规则1.整数9.1文字规则2.实数3.字符串(文字字符串和数位字符串)(1)文字字符串:用双引号括起来的一串文字,一个字符串不能分成多行书写。其宽度为字符串中字符的个数乘以8.(2)数位字符串也称位矢量,与文字字符串相似,但所代表的是二进制、八进制或十六进制的数组。9.1文字规则4.标识符5.关键词:是Verilog语言中已经定义的一系列标识符的保留字9.2数据类型Verilog的数据类型及逻辑系统学习Verilog逻辑值系统学习Verilog中不同类的数据类型理解每种数据类型的用途及用法数据类型说明的语

2、法学习内容:Verilog采用的四值逻辑系统’0’,Low,False,LogicLow,Ground,VSS,NegativeAssertion‘1’,High,True,LogicHigh,Power,VDD,VCC,PositiveAssertion’X’Unknown:OccursatLogicalWhichCannotbeResolvedConflictHiZ,HighImpedance,Tri-Stated,DisabledDriver(Unknown)主要数据类型Verilog主要有三类(class)数据类型:net(线网):表

3、示器件之间的物理连接register(寄存器):表示抽象存储元件parameters(参数):运行时的常数(run-timeonstants)net(线网)net需要被持续的驱动,驱动它的可以是门和模块。当net驱动器的值发生变化时,Verilog自动的将新值传送到net上。在例子中,线网out由or门驱动。当or门的输入信号置位时将传输到线网net上。net类的类型(线网)有多种net类型用于设计(design-specific)建模和工艺(technology-specific)建模没有声明的net的缺省类型为1位(标量)wire类型。但

4、这个缺省类型可由下面的编译指导改变:`default_nettypenet类型功能wire,trisupply1,supply0wor,triorwand,triandtriregtri1,tri0标准内部连接线(缺省)电源和地多驱动源线或多驱动源线与能保存电荷的net无驱动时上拉/下拉综合编译器不支持的net类型net类的类型(线网)wire类型是最常用的类型,只有连接功能。wire和tri类型有相同的功能。用户可根据需要将线网定义为wire或tri以提高可读性。例如,可以用tri类型表示一个net有多个驱动源。或者将一个

5、net声明为tri以指示这个net可以是高阻态Z(hign-impedance)。可推广至wand和triand、wor和triorwand、wor有线逻辑功能;与wire的区别见下页的表。trireg类型很象wire类型,但trireg类型在没有驱动时保持以前的值。这个值的强度随时间减弱。寄存器类(register)寄存器类型在赋新值以前保持原值寄存器类型大量应用于行为模型描述及激励描述。在下面的例子中,reg_a、reg_b、reg_sel用于施加激励给2:1多路器。用行为描述结构给寄存器类型赋值。给reg类型赋值是在过程块中。寄存器类的

6、类型寄存器类有四种数据类型寄存器类型功能reg可定义的无符号整数变量,可以是标量(1位)或矢量,是最常用的寄存器类型integer32位有符号整数变量,算术操作产生二进制补码形式的结果。通常用作不会由硬件实现的的数据处理。real双精度的带符号浮点变量,用法与integer相同。time64位无符号整数变量,用于仿真时间的保存与处理realtime与real内容一致,但可以用作实数仿真时间的保存与处理Verilog中net和register声明语法net声明[range][delay][,net_nam

7、e];net_type:net类型range:矢量范围,以[MSB:LSB]格式delay:定义与net相关的延时net_name:net名称,一次可定义多个net,用逗号分开。寄存器声明[range][,reg_name];reg_type:寄存器类型range:矢量范围,以[MSB:LSB]格式。只对reg类型有效reg_name:寄存器名称,一次可定义多个寄存器,用逗号分开Verilog中net和register声明语法举例:rega;//一个标量寄存器wandw;//一个标量wand类型netr

8、eg[3:0]v;//从MSB到LSB的4位寄存器向量reg[7:0]m,n;//两个8位寄存器tri[15:0]busa;//16位三态总线wire[0:31]w

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。