EDA数字秒表设计.doc

EDA数字秒表设计.doc

ID:58676807

大小:150.00 KB

页数:12页

时间:2020-10-15

EDA数字秒表设计.doc_第1页
EDA数字秒表设计.doc_第2页
EDA数字秒表设计.doc_第3页
EDA数字秒表设计.doc_第4页
EDA数字秒表设计.doc_第5页
资源描述:

《EDA数字秒表设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、北华航天工业学院《EDA技术综合设计》课程设计报告报告题目:数字秒表设计作者所在系部:电子工程系作者所在专业:电子信息工程作者所在班级:作者姓名:指导教师姓名:完成时间:2010年12月12日内容摘要应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、12500的分频器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表

2、还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器连接。开关设置秒表报警器,每10秒钟,蜂鸣器鸣响1声,发光二极管闪烁。当计时达60分钟后,蜂鸣器鸣响10声。关键词:VHDL、数据选择器、计数器、显示器目录一、系统组成框图……………………………………………………5二、各模块原理及其程序……………………………………………51、六进制计数器……………………

3、………………………………62、十进制计数器…………………………………………………63、蜂鸣器………………………………………………………74、译码器…………………………………………………………85、控制器…………………………………………………………9三、系统仿真………………………………………………………101、六进制计数器…………………………………………………102、十进制计数器…………………………………………………103、蜂鸣器………………………………………………………104、译码器………………………………………………

4、………105、控制器………………………………………………………10四、心得体会……………………………………………………11课程设计任务书课题名称数字秒表设计完成时间10.12指导教师职称学生姓名班级总体设计要求和技术要点总体设计要求:通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。技术要点:1.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,

5、6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。2.开关设置秒表报警器,每10秒钟,蜂鸣器鸣响1声,发光二极管闪烁。当计时达60分钟后,蜂鸣器鸣响10声。工作内容及时间进度安排工作内容:在软件上编辑、编译程序,并仿真到达实验要求。进度安排;课下编写程序,并要求程序能通过编译仿真;第十六周的周三,周四在实验板上下载调试程序;周五课设答辩。课程设计成果1.与设计内容对应的软件程序2.课程设计报告书3.成果使用说明书4.设计工作量要求设计过程数字秒表计时控制电路控制状态机计时电路显示电路分频电路计数器六进制计

6、数器扫描电路七段译码器十进制计数器系统组成框图一.系统组成框图二.各模块及的原理及其程序(1)六进制计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycount6isport(clk,clr,start:instd_logic;daout:outstd_logic_vector(3downto0);cout:outstd_logic);endcount6;architecturebehaveofcount6iss

7、ignaltemp:std_logic_vector(3downto0);beginprocess(clk,clr)beginifclr='1'thentemp<="0000";cout<='0';elsifclk'eventandclk='1'thenifstart='1'theniftemp>="0101"thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';endif;endif;endif;endprocess;daout<=temp;endbehave;(

8、2)十进制计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitycount10isport(clr,start,clk:instd_logic;cout:outstd_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。