自动售货机控制系统.doc

自动售货机控制系统.doc

ID:58430678

大小:1.11 MB

页数:18页

时间:2020-05-19

自动售货机控制系统.doc_第1页
自动售货机控制系统.doc_第2页
自动售货机控制系统.doc_第3页
自动售货机控制系统.doc_第4页
自动售货机控制系统.doc_第5页
资源描述:

《自动售货机控制系统.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、成绩XuzhouInstituteofTechnology可编程器件及应用课程设计报告设计名称:自动售货机控制系统学院:信电工程学院专业班级:13电信学生姓名:学号:指导教师:贾燕玲2016年6月30日目录一、前言1二、设计项目及要求1三、设计流程2(一)设计说明3(二)流程说明3四、软件设计3(一)顶层电路图4(一)状态转换4(二)模块组成51、总控模块52、译码模块93、显示模块10五、各模块软件仿真及分析12(一)顶层电路仿真12(二)主控模块仿真12(三)显示模块仿真.................................

2、..........................................................................13(四)译码模块仿真.................................................................,........................................13六、总结15附录161、初始状态实物图162、购买状态实物图163、合作分工..........................................

3、................................................................................17一、前言随着科技的发展和社会的进步,自动化商业机械有了越来越广泛的的应用,自动售货机作为自动化商业机械的代表被广泛用于公共场所自动售货,给人们的生活带来了极大的方便。特别在发达的国家,自动售货机已经十分普及,自动售货机可售出各种成型包装的小商品,各种袋装,盒装,软瓶装等小商品。因其无需专人值守而可以每天24小时售货,被称为“无人小超市”。在我国,由于多种原因,自动售货机的市场推广

4、缓慢,随着商品市场的不断繁荣,自动售货机和自动服务设施必将逐步得到广泛的应用。本文设计研究自动售货机的主要功能模块,主要包括货币处理模块、余额计算模块、显示模块,延时和时控模块。相应的功能有选择货物,多次购买,出货找零。本文是通过使用VHDL语言,基于KXGW48FPGA芯片,并通过使用QUARTUSII软件进行程序设计,模拟仿真,功能验证,以更好的来理解和掌握自动售货机控制系统的工作原理和设计方法,以及学习和掌握基于EDA的电子产品设计方法,进一步加深对VHDL语言以及QUARTUSII软件、FPGA可编程芯片的认识与了解。FPGA是现场

5、可编程门阵列(Fieldprogrammablegatesarray)的英文简称。是有可编程逻辑模块组成的数字集成电路(IC)。这些逻辑模块之间用可配置的互联资源。设计者可以对这些器件进行编程来完成各种各样的任务二、设计项目及要求设计一个自动售货机,它能够完成对货物信息的存储,硬币处理,余额计算和显示等功能。(1)自动售货机可以出售四种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中。(2)采用模拟开关分别模拟5角和1元的硬币来进行购物,并通过按键来选择商品。(3)系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购

6、物者所选面值时,则根据顾客的要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。三、设计流程(一)设计说明本文设计的自动售货机可销售四种货物,售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。(二)流程说明本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投

7、币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图1所示。退币清零出货(找零)总额>=物价计算金额投币开始选择货物四货物三货物二货物一YN继续投币Y结束N图1投币销售流程图四、软件设计(一)顶层电路图(二)状态转换本文所设计的自动售货机进程可以分为7个状态:a,b,c,d,e,f,g.a为开始状态,当有商品选择键按下时即进入b状态(投币状态)。当有货币投入,但投币不够时,即进入c状态(继续投币),若投币够了,即进入d状

8、态(出货找零),之后进入f状态(延时)后返回a(状态)。(三)模块组成总控模块二进制译码模块显示模块1、总控模块(1)模块说明总控模块是本系统最重要的模块,该模块大体有5个输入端

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。