选1数据选择器.doc

选1数据选择器.doc

ID:58378132

大小:24.00 KB

页数:4页

时间:2020-05-03

选1数据选择器.doc_第1页
选1数据选择器.doc_第2页
选1数据选择器.doc_第3页
选1数据选择器.doc_第4页
资源描述:

《选1数据选择器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、电子设计技术课程设计四位加法器姓名:黄政学号:班级:通信工程zb指导教师:郑雪娇2013年12月26日1一、设计目的1.熟练使用VerilogHDL语言在muxplusⅡ软件平台上编写程序,完成编译工作。2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。3.掌握使用EDA工具设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。4.学习实验开发系统的使用方法。二、设计内容1、设计并调试好一个4选1数据选择器。2、仿真、分析结果、绘制波形三、设计过程1、程序的设计打开muxp

2、lusⅡ,单击file,选择new,选择Editorfile类型。进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:(自己的文件夹)内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。程序设计内容如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYMUX41

3、AISPORT(D3,D2,D1,D0,A1,A0,EN:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDENTITYMUX41A;ARCHITECTUREONEOFMUX41AISBEGINY<=D0WHENA1='0'ANDA0='0'ANDEN='1'ELSED1WHENA1='0'ANDA0='1'ANDEN='1'ELSED2WHENA1='1'ANDA0='0'ANDEN='1'4ELSED3WHENA1='1'ANDA0='1'ANDEN='1'ELSE'Z';ENDARCHITECTUREONE;3、功能仿真设计

4、文件仿真,是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。(1)建立波形文件进行仿真时需要先建立仿真文件。在muxplusII环境执行File的New命令,再选择弹出如下图的对话框中的VectorWaveformFile项,波形编辑窗口即被打开。(2)输入信号节点选择菜单View→UtilityWindows→NodeFinder,在Filter框中选择Pins:all,再单击List按钮,即在下面的NodesFound框

5、中国i不过出现本设计的项目所有输入输出和近位,并全部拖到波形编辑窗口。(3)波形文件存盘以“max4_1.vwf”(注意后缀是.vwf)为文件名,存在自己建立的工程目录D(自己的文件夹)内。在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“max4_1.vwf”,因此可以直接单击确定按钮。(4)进行仿真4波形文件存盘后,执行仿真器“Simulator”命令,单击弹出的“仿真开始”对话框中的“Start”按钮,即完成仿真,可通过观察仿真波形进行设计电路的功能验证。四、设计体会在设计的过程中遇到问题,可以说是困难重重,这毕竟是第一次做难

6、免遇到各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前学到的知识理解得不够深刻,掌握的不够牢固通过这次的课程设计我懂得了理论与实际结合的重要性,只有理论是完全不够的,只有把学到的理论知识运用到实际中去,两者完美结合,得到结论才能够服务社会。所以通过这次电子设计技术的课程设计我希望下次做作品的时候我们能有更好的成绩。4

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。