计算机组成原理4位二进制计数器实验报告.doc

计算机组成原理4位二进制计数器实验报告.doc

ID:57681824

大小:1.09 MB

页数:8页

时间:2020-08-31

计算机组成原理4位二进制计数器实验报告.doc_第1页
计算机组成原理4位二进制计数器实验报告.doc_第2页
计算机组成原理4位二进制计数器实验报告.doc_第3页
计算机组成原理4位二进制计数器实验报告.doc_第4页
计算机组成原理4位二进制计数器实验报告.doc_第5页
资源描述:

《计算机组成原理4位二进制计数器实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、计算机组成原理实验一4位二进制计数器实验姓名:李云弟学号:网工1201【实验环境】1.Windows2000或WindowsXP2.QuartusII9.1sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。【实验目的】1、熟悉VHDL语言的编写。2、验证计数器的计数功能。【实验要求】本实验要求设计一个4位二进制计数器。要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F的数据显示。(其次要求下载到实验版实现显示)【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要

2、是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收

3、计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:计数器的种类下面对同步二进制加法计数器做一些介绍。同步计数器中,所有触发器的CP端是相连的,CP的每一个触发沿都会使所有的触发器状态更新。因此不能使用T′触发器。应控制触发器的输入端,即将触发器接成T触发器

4、。只有当低位向高位进位时(即低位全1时再加1),令高位触发器的T=1,触发器翻转,计数加1。例如由JK触发器组成的4位同步二进制加法计数器,令其用下降沿触发。下面分析它的工作原理。①输出方程②驱动方程③状态方程本实验中要求用VHDL语言设计同步4位二进制计数器,令其上升沿触发。【实验步骤】1.1顶层VHDL文件设计1.1.1创建工程和编辑设计文件首先建立工作库,以便设计工程项目的存储。任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被EDA软件默

5、认为工作库(WorkLibrary)。在建立了文件夹后就可以将设计文件通过QuartusII的文本编辑器编辑并存盘,详细步骤如下:首先新建一个文件夹。利用资源管理器,新建一个文件夹,如:d:li1。注意,文件夹名不能用中文。1、创建一个工程(1)、双击桌面上的图标,打开QuartusII软件。(2)、再开始建立新项目工程。点击:【File】菜单,选择下拉列表中的【NewProjectWizard...】命令,打开建立新项目工程的向导对话框。点击next.(3)出现对话框,让你选择项目工程保存位置、定义项目工程名

6、称以及设计文件顶层实体名称。如图:第一栏选择项目工程保存的位置,方法是点击按钮,选择到刚才我们在第一步建立的文件夹。第二栏用于指定项目工程名称。项目名可以取任意名字,也可以直接用顶层文件名作为项目名(建议使用)。第三栏用于指定顶层文件的实体名称。软件会默认为与之前建立的项目工程名称一致。没有特别需要,我们一般选择软件的默认,不必特意去修改。需要注意的是:以上名称的命名中不能出现中文字符,否则软件的后续工作会出错。完成以上命名工作后,点击Next,进入下一步。(4)这一步的工作是让你将之前已经设计好的工程文件添加到

7、本项目工程里来,我们之前若没有设计好的文件,就不用理它,跳过这一步,直接点Next,再进入下一步。如下图对话框:(5)这一步的工作是让你选择好设计文件下载所需要的可编程芯片的型号,实验中我们所用的实验平台是DE2-115开发板,因此我们选择CycloneIVE,EP4CE115F29C7。点击Next,进入下一步。如下图对话框:(6)这一步是让你选择第三方开发工具,我不需要,直接点击Next,进入下一步。出现下图页面(7)以上页面显示刚才我们所做的项目工程设置内容的“报告”。点击Finish,完成新建项目工程的任

8、务。2、输入源程序。(1)新建一个VHDL项目文件。打开QuartusII,选择菜单“File”®“New”,在New窗中的“DesignFiles”中选择编译文件的语言类型,选“VHDLFile”(如图所示)。图选择编辑文件的语言类型(2)然后在VHDL文本编译窗中键入VHDL程序。VHDL语言的程序代码如下图所示:其中,CLK是时钟信号,CQ是4位数据输出端。每当检测

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。