数字钟程序及波形仿真图.doc

数字钟程序及波形仿真图.doc

ID:57676149

大小:234.50 KB

页数:8页

时间:2020-08-31

数字钟程序及波形仿真图.doc_第1页
数字钟程序及波形仿真图.doc_第2页
数字钟程序及波形仿真图.doc_第3页
数字钟程序及波形仿真图.doc_第4页
数字钟程序及波形仿真图.doc_第5页
资源描述:

《数字钟程序及波形仿真图.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、部件一:60进制程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCLOCK60ISPORT(CLK:INSTD_LOGIC;---时钟信号NRESET:INSTD_LOGIC;---复位端LOAD:INSTD_LOGIC;---置数端D:INSTD_LOGIC_VECTOR(7DOWNTO0);---输入端CI:INSTD_LOGIC;---始能端CO:OUTSTD_LOGIC;---进位脉冲QH:BUFFERSTD_LOGIC_V

2、ECTOR(3DOWNTO0);QL:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));ENDCLOCK60;ARCHITECTUREARTCLOCK60OFCLOCK60ISBEGINCO<='1'WHEN(QH="0101"ANDQL="1001"ANDCI='1')ELSE'0';----进位输出PROCESS(CLK,NRESET)BEGINIF(NRESET='0')THEN-----异步复位QH<="0000";QL<="0000";ELSIF(CLK'EVENTANDCLK='1')THEN------同步

3、置数IF(LOAD='1')THENQH<=D(7DOWNTO4);QL<=D(3DOWNTO0);ELSIF(CI='1')THENIF(QL=9)THENQL<="0000";IF(QH=5)THENQH<="0000";ELSEQH<=QH+1;ENDIF;ELSEQL<=QL+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDARTCLOCK60;60进制波形图如下:部件二:24进制程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNE

4、D.ALL;ENTITYCLOCK24ISPORT(CLK:INSTD_LOGIC;---时钟信号NRESET:INSTD_LOGIC;---复位端LOAD:INSTD_LOGIC;---置数端D:INSTD_LOGIC_VECTOR(7DOWNTO0);---输入端CI:INSTD_LOGIC;---始能端CO:OUTSTD_LOGIC;---进位脉冲QH:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0);QL:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));ENDCLOCK24;ARCHITECTU

5、REARTCLOCK24OFCLOCK24ISBEGINCO<='1'WHEN(QH="0101"ANDQL="1001"ANDCI='1')ELSE'0';----进位输出PROCESS(CLK,NRESET)BEGINIF(NRESET='0')THEN-----异步复位QH<="0000";QL<="0000";ELSIF(CLK'EVENTANDCLK='1')THEN------同步置数IF(LOAD='1')THENQH<=D(7DOWNTO4);QL<=D(3DOWNTO0);ELSIF(CI='1')THENIF(QL=9

6、or(QH=2ANDQL=3))THENQL<="0000";IF(QH=2)THENQH<="0000";ELSEQH<=QH+1;ENDIF;ELSEQL<=QL+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDARTCLOCK24;24进制的波形图如下:数字钟的全部程序如下:LIBRARYIEEE;---秒信号USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCLOCK60sISPORT(CLK:INSTD_LOGIC;---时钟信号N

7、RESET:INSTD_LOGIC;---复位端LOAD:INSTD_LOGIC;---置数端D:INSTD_LOGIC_VECTOR(7DOWNTO0);---输入端CI:INSTD_LOGIC;---始能端CO:OUTSTD_LOGIC;---进位脉冲QH:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0);QL:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));ENDCLOCK60s;ARCHITECTUREARTCLOCK60sOFCLOCK60sISBEGINCO<='1'WHEN(QH="010

8、1"ANDQL="1001"ANDCI='1')ELSE'0';--进位输出PROCESS(CLK,NRESET)BEGINIF(NRESET='0')THEN---异步复位QH<="0000

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。