方波信号发生器设计.doc

方波信号发生器设计.doc

ID:57613382

大小:332.50 KB

页数:5页

时间:2020-08-29

方波信号发生器设计.doc_第1页
方波信号发生器设计.doc_第2页
方波信号发生器设计.doc_第3页
方波信号发生器设计.doc_第4页
方波信号发生器设计.doc_第5页
资源描述:

《方波信号发生器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、方波信号发生器设计一.实验目的:1.进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。2.加深对电路理论概念的理解3.进一步熟悉常用仪器的使用及调试4.加深计算机辅助分析及设计的概念5.了解及初步掌握对电路进行计算机辅助分析的过程6.培养学生发现问题、分析问题的创新能力7.锻炼学生搜集资料、分析综合资料的能力二.实验原理:方波波信号发生器由四部分组成:计数器或地址发生器(这里选择6位)。方波信号数据ROM(6位地址线、8位数据线),含有64个8位数据(一个周期)。VHDL顶层设计。8位D/A(实验中用DAC0832代替)。图1所示的信号发生器结构框图中,顶层文件si

2、ngt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个方波数据ROM,由LPM_ROM模块构成。LPM_ROM底层是FPGA中的EAB、ESB或M4K等模块。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此选择64点),以及D/A输出的频率f的关系是:f=f0/64。图1方波信号发生器结构框图三.实验内容:1.新建一个文件夹。利用资源管理器,新建一个文件夹,如:e:SIN_GNT。注意,文件夹名不能用中文。2.输入源程序。打开QuartusII,选择菜单“File”“New”,在New窗中的“DeviceDesignFiles”中选择编

3、译文件的语言类型,这里选“VHDLFiles”。然后在VHDL文本编译窗中键入VHDL程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitysquareisport(clk,clr:instd_logic;q:outintegerrange0to255);endentity;architecturebehavofsquareissignala:bit;beginprocess(clk,clr)--计数分频variablecnt:int

4、egerrange0to32;beginif(clr='0')thena<='0';elsifclk'eventandclk='1'thenifcnt<31then--进行32分频cnt:=cnt+1;elsecnt:=0;a<=nota;endif;endif;endprocess;process(clk,a)--信号输出beginifclk'eventandclk='1'thenifa='1'thenq<=255;elseq<=0;endif;endif;endprocess;endbehav;3.建立.mif格式文件首先选择ROM数据文件编辑窗,即在File菜单中选择“New”,并在N

5、ew窗中选择“Otherfiles”项,并“MemoryInitializationFile”(图3-11),点击OK后产生ROM数据文件大小选择窗。这里采用64点8位数据的情况,可选ROM的数据数Number为64,数据宽Wordsize取8位。点击“OK”,将出现空的mif数据表格,表格中的数据为10进制表达方式,任一数据(如第三行的99)对应的地址为左列于顶行数之和。将波形数据填入此表中,完成后在File菜单中点击“Saveas”,保存此数据文件,在这里不妨取名为romd.mif4.定制ROM元件利用MegaWizardPlug-InManager定制方波数据ROM步骤如下:设置Meg

6、aWizardPlug-InManager初始对话框。在Tools菜单中选择“MegaWizardPlug-InManager”,产生图3-14的界面,选择“Createanewcustom…”项,即定制一个新的模块。点击“Next”后,产生图3-15对话框,在左栏选择“Storage”项下的LPM_ROM,再选“Cyclone”器件和VHDL语言方式,最后键入ROM文件存放的路径和文件名,点击“Next”。5.创建.bdf文件,连接引脚,如图:6.编译7.仿真(1)打开波形编辑器。选择菜单File中的New项,在New窗中选“OtherFiles”中的“VectorWaveformFile

7、”,点击OK,即出现空白的波形编辑器(图3-21)。(2)设置仿真时间区域。为了使仿真时间轴设置在一个合理的时间区域上,在Edit菜单中选择“EndTime”项,在弹出的窗中的“Time”窗中键入100,单位选“ms”,即整个仿真域的时间即设定为100毫秒,点击OK,结束设置。(3)存盘波形文件。选择File中的“Saveas”。(4)编辑输入波形(输入激励信号)。点击时钟名CLK,使之变兰色,再点击左列的时

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。