4、、CQ清零,从新计数。3、波形图4、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT12 isport( clk,rst,en : in std_logic; Co : out std_logic_vector( 3 DOWNTO 0); cq : out std_logic_vector( 3 DOWNTO 0)
5、 ); end CNT12;architecture rtl of CNT12 is signal q1 : std_logic_vector(3 downto 0); signal q2 : std_logic_vector(3 downto 0); beginCo<="0001" when (q2= "0001" ) else "0000";cq<=q1(3 downto 0) ;process (clk, rst, en)begin if rst = '1' then
6、 q1<= "0000"; q2<= "0000"; elsif (clk'event and clk = '1') then if en = '1' then if(q2= "0001" and q1= "0001") then q1<= "0000"; q2<= "0000"; elsif (q1="1001")then q1<="0000";q2<=q2+1
7、; else q1<=q1+1; end if; end if; end if;end process;end rtl;一、心得体会这次试验,我们预习的很不充分,所需程序没有在课前准备好,所以把实验的时间花在了修改程序上。这样对我们来说是很大的损失。而且在修改程序时遇到很多问题,证明我们没有掌握好VHDL的基本语法。所以以后一定要认真学习VHDL。并且要在做实验之前做好充分的预习。这样才会提高实验效率。这