EDA数码管动态显示.doc

EDA数码管动态显示.doc

ID:51673443

大小:41.00 KB

页数:3页

时间:2020-03-14

EDA数码管动态显示.doc_第1页
EDA数码管动态显示.doc_第2页
EDA数码管动态显示.doc_第3页
资源描述:

《EDA数码管动态显示.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、预习报告一、实验目的1.了解实验箱中8位七段数码管显示模块的工作原理。2.熟悉VHDL硬件描述语言及设计专用数字集成电路的自顶向下的设计思想。3.掌握利用CPLD/FPGA设计8位七段数码管扫描显示驱动电路的方法。二、实验设备1.计算机(配置为:P4CPU128M内存);2.MAX+plusⅡ开发工具软件;3.EL教学实验箱;4.万用表;5.DS5022M型双踪数字示波器;三、扫描原理为了减少8位显示信号的接口连接线,实验箱中的数码显示采用扫描显示工作模式。即8位数码管的七段译码输入(a,b,c,d,e,f,g)是并联在

2、一起的,而每一个数码管是通过一个位选择sel[2..0]来选定的。sel与数码管之间是一3-8译码的关系,即sel为“000”时,选中第一个数码管,sel为“111”时,选中第八个数码管。四、设计任务本实验要求在给定子模块程序的基础上,画出设计原理图。自行编写顶层模块程序,完成扫描显示驱动电路的设计,实现在8个数码管上轮流显示字符0-F的功能。五、设计要求1.要求在Max+plusⅡ平台上用VHDL语言编写顶层模块程序,调试、仿真成功后,下载至ALTEREPM7128SLC84-15芯片,再利用外接电路实现以上设计功能。

3、2.扫描驱动显示电路有2个输入端(clk,reset),14个输出端(a,b,c,d,e,f,g)和(y0,y1,y2,y3,y4,y5,y6,y7),全部为TTL电平,管脚分配任意,如下图所示。3.根据芯片特点,管脚分配时将时钟信号分配给83脚,复位信号分配给1脚,使能信号分配给84脚。六、实验报告要求1.给出设计源程序、仿真结果、说明设计思路。2.改变输入时钟信号的频率,观察实验结果如何改变。3.字符扫描显示亮度与扫描频率的关系,且让人眼感觉不出闪烁现象的最低扫描频率是多少?33libraryieee;useieee

4、.std_logic_1164.all;entitydispisport(clk,reset:instd_logic;a,b,c,d,e,f,g:outstd_logic;y:outstd_logic_vector(2downto0));enddisp;architecturebehaofdispiscomponentcounter16port(clk,clr:instd_logic;count:outstd_logic_vector(3downto0));endcomponent;componentdecdisppor

5、t(datain:instd_logic_vector(3downto0);a,b,c,d,e,f,g:outstd_logic);endcomponent;componentyima3port(x:instd_logic_vector(2downto0);y:outstd_logic_vector(2downto0));endcomponent;signalcont:std_logic_vector(3downto0);signalsel3:std_logic_vector(2downto0);begind1:coun

6、ter16portmap(clk=>clk,clr=>reset,count=>cont);d2:decdispportmap(datain=>cont,a=>a,b=>b,c=>c,d=>d,e=>e,f=>f,g=>g);d3:yima3portmap(x=>cont(2downto0),y=>y);endbeha;libraryieee;useieee.std_logic_1164.all;entityyima3isport(x:instd_logic_vector(2downto0);y:outstd_logic

7、_vector(2downto0));endyima3;architecturebehaofyima3isbeginy<=x;endbeha;libraryieee;useieee.std_logic_1164.all;entitydecdispisport(datain:instd_logic_vector(3downto0);a,b,c,d,e,f,g:outstd_logic);enddecdisp;architecturebehaofdecdispissignaldataout:std_logic_vector(

8、6downto0);begina<=dataout(6);b<=dataout(5);c<=dataout(4);d<=dataout(3);e<=dataout(2);f<=dataout(1);g<=dataout(0);process(datain)begincasedatainiswhen"0000"=>da

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。