基于VHDL的电子时钟设计(附代码和仿真波形).ppt

基于VHDL的电子时钟设计(附代码和仿真波形).ppt

ID:57312174

大小:89.01 KB

页数:25页

时间:2020-08-11

基于VHDL的电子时钟设计(附代码和仿真波形).ppt_第1页
基于VHDL的电子时钟设计(附代码和仿真波形).ppt_第2页
基于VHDL的电子时钟设计(附代码和仿真波形).ppt_第3页
基于VHDL的电子时钟设计(附代码和仿真波形).ppt_第4页
基于VHDL的电子时钟设计(附代码和仿真波形).ppt_第5页
资源描述:

《基于VHDL的电子时钟设计(附代码和仿真波形).ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、电子时钟设计设计要求设计一个电子时钟。要求可以显示时、分、秒。用户可以设置时间。系统组成系统可以分为以下模块:1.10进制可预置计数器模块2.6进制可预置计数器模块3.24进制可预置计数器模块4.LED译码模块系统组成方框图置数按键控制按键基准时钟计数器动态显示译码显示1.10进制可预置计数器模块时钟由时、分、秒组成,分、秒都为60进制。由于需要使用LED显示时间,所以采用的计数器应该是10进制的,从而方便译码模块的通用。而60进制计数器可以由10进制计数器和6进制计数器组成。2.6进制可预置计数器模块要组成一个可预置的60进制计数器,还需要一个6进制的计数器,使用10进制的进位作为6进制的

2、计数器的时钟信号可以组成一个60进制的计数器。24进制可预置计数器模块时钟的小时是24进制的,所以必须设计一个24进制的可预置计数器。显然,24进制计数器不可以使用6进制计数器和4进制计数器组成,因为这样做的24进制计数器将给译码带来麻烦。4.译码显示模块一共有6个LED需要显示,所以需要6个译码模块。电子时钟设计与仿真10进制计数器VHDL程序--文件名:counter10.vhd。--功能:10进制计数器,有进位C--最后修改日期:2004.3.20libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;use

3、IEEE.STD_LOGIC_UNSIGNED.ALL;entitycounter10isPort(clk:instd_logic;reset:instd_logic;din:instd_logic_vector(3downto0);dout:outstd_logic_vector(3downto0);c:outstd_logic);endcounter10;architectureBehavioralofcounter10issignalcount:std_logic_vector(3downto0);begindout<=count;process(clk,reset,din)begin

4、ifreset='0'thencount<=din;c<='0';elsifrising_edge(clk)thenifcount="1001"thencount<="0000";c<='1';elsecount<=count+1;c<='0';endif;endif;endprocess;endBehavioral;10进制计数器仿真6进制计数器VHDL程序--文件名:counter6.vhd。--功能:6进制计数器,有进位C--最后修改日期:2004.3.20libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.AL

5、L;useIEEE.STD_LOGIC_UNSIGNED.ALL;entitycounter6isPort(clk:instd_logic;reset:instd_logic;din:instd_logic_vector(2downto0);dout:outstd_logic_vector(2downto0);c:outstd_logic);endcounter6;architectureBehavioralofcounter6issignalcount:std_logic_vector(2downto0);beginprocess(clk,reset,din)beginifreset='0

6、'thencount<=din;c<='0';elsifrising_edge(clk)thenifcount="101"thencount<="000";c<='1';elsecount<=count+1;c<='0';endif;endif;endprocess;dout<=count;endBehavioral;6进制计数器仿真24进制计数器VHDL程序--文件名:counter24.vhd。--功能:24进制计数器。--最后修改日期:2004.3.20libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;

7、useIEEE.STD_LOGIC_UNSIGNED.ALL;entitycounter24isPort(clk:instd_logic;reset:instd_logic;din:instd_logic_vector(5downto0);dout:outstd_logic_vector(5downto0));endcounter24;architectureBehavioralofcounter24issi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。