串行外设接口SPI课件.ppt

串行外设接口SPI课件.ppt

ID:57080392

大小:1.17 MB

页数:26页

时间:2020-07-31

串行外设接口SPI课件.ppt_第1页
串行外设接口SPI课件.ppt_第2页
串行外设接口SPI课件.ppt_第3页
串行外设接口SPI课件.ppt_第4页
串行外设接口SPI课件.ppt_第5页
资源描述:

《串行外设接口SPI课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第8章串行外设接口SPI主要内容8.1SPI的基本工作原理8.2AW60SPI模块编程结构8.3AW60的SPI构件设计8.4利用SPI扩展外接Flash芯片8.1SPI的基本工作原理8.1.1SPI基本概念串行外设接口(SPI,SerialPeripheralInterface)是Freescale公司推出的一种同步串行通讯接口,用于微处理器和外围扩展芯片之间的串行连接,现已发展成为一种工业标准,各半导体公司推出了大量带有SPI接口的芯片,如RAM、EEPROM、A/D转换器、D/A转换器、LED/LCD显示驱动器、I/O接口

2、芯片、实时时钟、UART收发器等,为用户的外围扩展提供了灵活而廉价的选择。我们先来了解几个概念:主机-从机(Master-Slave):提供SPI串行时钟的SPI设备称为SPI主机或主设备(Master),其它设备则称为SPI从机或从设备(Slave)从机选择引脚(SlaveSelect):若一个MCU的SPI工作于主机方式,则置该MCU的为高电平。若一个MCU的SPI工作于从机方式,当=0时表示主机选中了该从机,反之则未选中该从机主出从入引脚MOSI(MasterOut/SlaveIn):主出从入引脚MOSI是主机输出、从机输

3、入数据线。主入从出引脚MISO(MasterIn/SlaveOut):主入从出引脚MISO是主机输入、从机输出数据线。SPI串行时钟引脚SPSCK(SPISerialClock):SPI串行时钟引脚SPSCK用于控制主机与从机之间的数据传输。时钟极性:表示时钟信号在空闲时是高电平还是低电平。时钟相位:决定数据是在SPSCK的上升沿采样还是在SPSCK的下降沿采样8.1.2SPI的数据传输每一工作过程相互传送8位数据,工作从主机CPU发出启动传输信号开始,此时要传送的数据装入8位移位寄存器,同时产生8个时钟信号从SPSCK引脚依次

4、送出,在SPSCK信号的控制下,主机中8位移位寄存器中的数据依次从MOSI引脚送出,到从机的MOSI引脚后送入它的8位移位寄存器;在此过程中,从机的数据也可通过MISO引脚传送到主机中SPI全双工主-从连接8.1.3SPI模块的时序SPI的数据传输是在时钟信号SPSCK(同步信号)的控制下完成的。数据传输过程涉及到时钟相位与时钟极性两个概念。CPHA表达时钟相位,CPOL表达时钟极性。总体要求是:确保发送数据在一周期开始的时刻上线,接收方在1/2周期的时刻从线上取数,这样是最稳定的通信方式。当CPHA=0,CPOL=0时,MIS

5、O引脚上的数据在第一个SPSCK沿跳变之前已经上线了,而为了保证正确传输,MOSI引脚的MSB位必须与SPSCK的第一个边沿同步,在SPI传输过程中,首先将数据上线,然后在同步时钟信号的上升沿时,SPI的接收方捕捉位信号,在时钟信号的一个周期结束时(下降沿),下一位数据信号上线,再重复上述过程,直到一个字节的8位信号传输结束CPHA=0,CPOL=0时的数据/时钟时序图CPHA=0,CPOL=1时的数据/时钟时序图CPHA=1,CPOL=0时的数据/时钟时序图CPHA=1,CPOL=1时的数据/时钟时序图8.1.4模拟SPI对于

6、不带SPI串行总线接口的MCU来说,可以使用软件来模拟SPI的操作。举例说明,我们可以使用三个普通I/O口,分别定义为pin_SPSCK、pin_MISO、pin_MOSI来模拟SPI器件的SPSCK、MISO、MOSI8.2AW60SPI模块编程结构8.2.1AW60的SPI模块概述SPI的主要特点如下:主或辅模式运行全双工或单线双向选项可编程发送波特率双缓冲发送和接收串行时钟相位和极性选项辅选择输出可选择的MSB在先或LSB在先转换8.2.2SPI模块寄存器SPI寄存器简介SPI控制寄存器1(SPI1C1)SPI1C1的定义

7、为:D7—SPIE为SPI中断使能(用于SPRF和MODF)D6—SPE为禁止SPI将暂停正在进行的任何传输、清除数据缓冲区、初始化内部状态设备D5—SPTIE为SPI发送中断使能,这是SPI发送缓冲器(SPTEF)的中断使能位。SPTIE=0,禁止从SPTEF中中断;SPTIE=1,请求硬件中断D4—MSTR为主/从模式选择。MSTR=0,SPI模块配置为从SPI器件;MSTR=1,SPI模块配置为主SPI器件D3—CPOL为时钟极性位。这个位有效地对从主SPI到从SPI器件的时钟信号串联放置一个反相器。CPOL=0,高有效S

8、PI时钟(低无效);CPOL=1,低有效SPI时钟(高无效)D2—CPHA为时钟相位位。该位选择两种时钟格式的一种用于不同类型的同步串行外围器件。CPHA=0,SPSCK上的第一个边沿出现在8周期数据传输的第一个周期的中央;CPHA=1,SPSCK上的第一个边沿

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。