直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt

直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt

ID:57022042

大小:583.00 KB

页数:40页

时间:2020-07-26

直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt_第1页
直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt_第2页
直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt_第3页
直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt_第4页
直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt_第5页
资源描述:

《直接数字频率合成器的 EDA技术综合应用实例与分析 谭会生 ppt 课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第11章直接数字频率合成器的设计与分析11.1系统设计要求11.2系统设计方案11.3主要VHDL源程序11.4系统仿真/硬件验证11.5设计技巧分析11.6系统扩展思路11.1系统设计要求1971年,美国学者J.Tierncy、C.M.Reader和B.Gold提出了以全数字技术从相位概念出发直接合成所需波形的一种新的频率合成原理。随着技术和水平的提高,一种新的频率合成技术——直接数字频率合成(DDS,DirectDigtalSynthesis)技术得到了飞速发展。DDS技术是一种把一系列数字形式的信号通过DAC转换成模拟形式的信号合成技术,目前使用最广泛的一种DDS方式

2、是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。DDS技术具有频率切换时间短(<20ns),频率分辨率高(0.01Hz),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。DDS广泛用于接受机本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合跳频无线通信系统。11.2系统设计方案11.2.1DDS的工作原理图11.1是DDS的基本原理图,频率控制字M和相位控制字分别控制DDS输出正(余)弦波的频率和相位。DDS系统的核心是相位

3、累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以步长M增加。图11.1DDS基本原理图11.2.2DDS的FPGA实现设计根据图11.1,并假定相位控制字为0,这时DDS的核心部分相位累加器的FPGA的设计可分为如下几个模块:相位累加器SUM99、相位寄存器REG1、正弦查找表ROM和输出数据寄存器REG2,其内部组成框图如图11.2所示。图中,输入信号有时钟输入CLK,使能端EN,复位端RESET,频率控制字K,输出信号为Q。图11.2DDS内部组成框图首先利用MATLAB或C语言编程对正弦函数进行采样;然后对采样数据进行二进制转换,其结果作

4、为查找表地址的数值。用MATLAB语言编写的正弦函数数据采集程序如下:CLEARTIC;T=2*PI/1024;t=[0:T:2*pi];y=255*sin(t);round(y);用C语言编写的正弦函数数据采样程序如下:#include"stdio.h"#include"math.h"Main(){intI;Floats;For(i=0;i<1024;i++){s=sin(actan(1)*8*i/1024);Printf("%d,%d;",(int)((s+1)*1023/2));}}两个程序运行之后所得结果是一致的。11.3主要VHDL源程序11.3.1相位累加器

5、SUM99的VHDL源程序--SUM99.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSUM99ISPORT(K:INSTD_LOGIC_VECTOR(9DOWNTO0);CLK:INSTD_LOGIC;EN:INSTD_LOGIC;RESET:INSTD_LOGIC;OUT1:OUTSTD_LOGIC_VECTOR(9DOWNTO0));ENDENTITYSUM99;ARCHITECTUREARTOFSUM99ISSIGNALTEMP:STD_LOGIC_VE

6、CTOR(9DOWNTO0);BEGINPROCESS(CLK,EN,RESET)ISBEGINIFRESET='1'THENTEMP<="0000000000";ELSEIFCLK'EVENTANDCLK='1'THENIFEN='1'THENTEMP<=TEMP+K;ENDIF;ENDIF;ENDIF;OUT1<=TEMP;ENDPROCESS;ENDARCHITECTUREART;11.3.2相位寄存器REG1的VHDL源程序--REG1.VHD(REG2.VHD与REG1.VHD相似)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;EN

7、TITYREG1ISPORT(D:INSTD_LOGIC_VECTOR(9DOWNTO0);CLK:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(9DOWNTO0));ENDENTITYREG1;ARCHITECTUREARTOFREG1ISBEGINPROCESS(CLK)ISBEGINIF(CLK'EVENTANDCLK='1')THENQ<=D;ENDIF;ENDPROCESS;ENDARCHITECTUREART;11.3.3正弦查找表ROM的VHDL源程序--ROM.VHDLIBRA

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。