使用Allegro从brd文件中导出封装及焊盘的方法.doc

使用Allegro从brd文件中导出封装及焊盘的方法.doc

ID:57018188

大小:679.50 KB

页数:4页

时间:2020-07-31

使用Allegro从brd文件中导出封装及焊盘的方法.doc_第1页
使用Allegro从brd文件中导出封装及焊盘的方法.doc_第2页
使用Allegro从brd文件中导出封装及焊盘的方法.doc_第3页
使用Allegro从brd文件中导出封装及焊盘的方法.doc_第4页
资源描述:

《使用Allegro从brd文件中导出封装及焊盘的方法.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、使用Allegro从brd文件中导出封装及焊盘的方法最近常常看到网友的提问“Allegro如何导出封装”,“为什么Allegro导出的封装没有焊盘”等,本文给出Allegro从brd文件导出封装及焊盘的正确方法。1.打开一个brd文件,本文以无线时代的软件无线电平台BRS为例。2.点击File—>Export–>Libraries,如下图。3.在弹出的对话框中,点击“…”选择保存的目录,这里保存至D:TempLib目录下。4.点击OK后返回刚才的界面,点击Export,即可将默认选中的信息导出至D:TempLib目录下。5.查看D:TempLib目录,

2、发现已经导出了很多文件,如下图。6.但是,请务必注意,这时这里的器件尚不能正常使用,我相信很多读者都会在这里遇到问题,因为按照默认设置,Allegro会去检查封装所使用的焊盘即pad文件是否存在于环境变量所指定的目录中。例如,在本人所用的电脑上,pad路径设置为D:LibraryAllegro。如果封装所使用的焊盘存在于D:LibraryAllegro,那么步骤4中的导出操作不会将这个焊盘导出。举个例子,一个封装文件同时使用了pad10x20和pad20x10两种pad,pad10x20存在于D:LibraryAllegro,不会被导出;pad20x1

3、0不存在于D:LibraryAllegro,会被导出。7.那么关键的问题来了,挖掘机技术到底哪家强?不,是如何将全部焊盘导出呢?回到步骤4,勾选“Nolibrariesdependencies”,含义为“不依赖库文件”,这时再次点击Export,就会发现D:TempLib目录多出了很多.pad文件。这时,将Allegro的psm及pad目录指向D:TempLib,就可以正常使用这里的封装。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。