电第3章35 VHDL操作符.ppt

电第3章35 VHDL操作符.ppt

ID:56434570

大小:260.50 KB

页数:19页

时间:2020-06-18

电第3章35 VHDL操作符.ppt_第1页
电第3章35 VHDL操作符.ppt_第2页
电第3章35 VHDL操作符.ppt_第3页
电第3章35 VHDL操作符.ppt_第4页
电第3章35 VHDL操作符.ppt_第5页
资源描述:

《电第3章35 VHDL操作符.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、CPLD/FPGA技术与应用孙静物理与机械电子工程学院第3章VHDL设计初步3.5VHDL操作符【P3319.9】操作符又称为运算符,其操作对象称为操作数。操作符和操作数相结合就构成了各种VHDL表达式。与其他高级语言相似,VHDL有着丰富的操作符,主要有四类常用的操作符,分别是逻辑操作符、算术操作符、关系操作符和符号操作符。此外还有并置操作符。3.5VHDL操作符对于VHDL中的操作符与操作数间的运算有两点需要特别注意:严格遵循在基本操作符间操作数是同数据类型的规则。严格遵循操作数的数据类型必须与操作符所要求的数

2、据类型完全一致。3.5VHDL操作符操作符的优先级顺序为:操作符优先级NOT,ABS,**最高优先级最低优先级*,/,MOD,REM+(正号),-(负号)+,-,&SLL,SLA,SRL,SRA,ROL,ROR=,/=,<,<=,>,>=AND,OR,NAND,NOR,XOR,XNOR逻辑操作符包括一元逻辑操作符和二元逻辑操作符:一元逻辑操作符:NOT(非)二元逻辑操作符:AND(与)、OR(或)、NAND(与非)、NOR(或非)、XOR(异或)、XNOR(同或)逻辑操作符可以对“STD_LOGIC”和“BIT”等

3、逻辑型数据、“STD_LOGIC_VECTOR”逻辑型数组及布尔数据进行逻辑运算。【注意】操作符的左边和右边,以及代入的信号的数据类型必须是相同的。逻辑操作符【注意】在VHDL中,逻辑操作符左右没有优先组合的区别,一个表达式中如果有多个逻辑操作符,运算顺序的不同可能会影响运算结果,就需要用括号来解决组合顺序的问题。如:q<=aANDbORNOTcANDd;这条语句在编译时会给出语法错误信息,可以加上括号改为:q<=(aANDb)OR(NOT(cANDd));如果逻辑表达式中只有AND、OR、XOR这3个操作符中的一

4、种,可以不加括号,因为对于这三种逻辑运算来说,改变运算顺序不会影响逻辑结果。P333【例9-22】SIGNALa,b,c:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALd,e,f,g:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALh,i,j,k:STD_LOGIC;SIGNALl,m,n,o,p:BOOLEAN;…a<=bANDc;--b、c相与后向a赋值,a、b、c的--数据类型同属4位长的位矢量d<=eORfORg;--两个操作符OR相同,不必加括号h<=(iNANDj)

5、NANDk;--NAND不属于上述三种算符中的一种,--必须加括号l<=(mXORn)AND(oXORp);--操作符不同,必须加括号h<=iANDjANDk;--两个操作符都是AND,不必加括号h<=iANDjORk;--两个操作符不同,未加括号,表达错误a<=bANDe;--操作数b与e的位矢长度不一致,表达错误h<=iORl;--i的数据类型是位STD_LOGIC,--而l的数据类型是布尔量BOOLEAN,--因而不能相互作用,表达错误关系操作符包括=、/=、<、<=、>、>=。关系操作符的使用规则如下:两个

6、对象进行比较时,数据类型一定要相同。=(等于)和/=(不等于)适用于所有数据类型的对象之间的比较。大于、小于、大于等于、小于等于适用于整数、实数位、位矢量及数组类型的比较。<=符号有两种含义:代入符和小于等于符,要根据上下文判断。两个位矢量类型的对象比较时,自左至右,按位比较。P334【例9-23】ENTITYrelational_ops_1ISPORT(a,b:INBIT_VECTOR(0TO3);m:OUTBOOLEAN);ENDrelational_ops_1;ARCHITECTUREexampleOFrel

7、ational_ops_1ISBEGINm<=(a=b);--P334,例9-23和9-24有错ENDexample;关系操作符算术操作符包括一元算术操作符和二元算术操作符:一元算术操作符:+(正号)、-(负号)、ABS(求绝对值)二元算术操作符:+、-、*、/、MOD(求模)、REM(求余)、**(乘方运算)类别算术操作符分类1求和操作符(Addingoperators)+(加),-(减)2求积操作符(Multiplyingoperators)*,/,MOD,REM3符号操作符(Signoperators)+(正

8、),-(负)4混合操作符(Miscellaneousoperators)**,ABS【例】SIGNALa,b,c,d,e,f,g,h:INTEGERRANGE0TO15;a<=b*4;c<=d/4;e<=fMOD4;g<=hREM4;算术操作符P336【例9-28】SIGNALa,b:INTEGERRANGE-8to7;SIGNALc:INTEGERRANG

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。