FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf

FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf

ID:56182419

大小:1.78 MB

页数:25页

时间:2020-06-04

FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf_第1页
FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf_第2页
FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf_第3页
FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf_第4页
FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf_第5页
资源描述:

《FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计任务书学生姓名:迟庆军专业班级:通信zy1101指导教师:陈适工作单位:武汉理工大学题目:二进制振幅键控(2ASK)调制器与解调器设计初始条件:QuartusII8.0sp1开发环境要求完成的主要任务:数字信号对载波振幅调制称为振幅键控,即ASK(Amplitude-ShiftKeying)。这种调制方式是利用载波的幅度变化来传递数字信息,其频率和初始相位保持不变。最基本的ASK是2ASK。请使用FPGA设计一个2ASK调制器与解调器,要求选择的FPGA芯片不限,选用的仿真工具不限。提交一篇FPGA的应用设计

2、报告。时间安排:2014年1月3日上午8:30在鉴主15楼实验室答辩。指导教师签名:年月日系主任(或责任教师)签名:年月日武汉理工大学FPGA应用设计报告目录摘要..................................................................................IAbstract.............................................................................II1.绪论......

3、.........................................................................11.1选题背景及意义................................................................11.1.1本课题的研究现状........................................................11.1.2选题目的意义...............................

4、.............................11.2本文的主要工作................................................................22.2ASK系统组成及工作原理.............................................................32.12ASK调制原理及设计方法........................................................32.22AS

5、K解调原理及设计方法........................................................33.2ASK各模块的设计...................................................................53.12ASK调制部分..................................................................53.22ASK解调部分.........................

6、.........................................54.VerilogHDL程序设计................................................................74.12ASK调制部分程序设计..........................................................74.22ASK解调部分程序设计.................................................

7、.........75.2ASK的仿真结果及分析...............................................................95.1关于QuartusII软件...........................................................95.1.1QuartusII的优点.......................................................95.1.2QuartusII对器件的支持....

8、..............................................95.1.3QuartusII对第三方EDA工具的支持.......................................105.22ASK调制部分仿真..............................................

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。