EDA数字钟设计实训报告.doc

EDA数字钟设计实训报告.doc

ID:55848886

大小:102.00 KB

页数:10页

时间:2020-03-14

EDA数字钟设计实训报告.doc_第1页
EDA数字钟设计实训报告.doc_第2页
EDA数字钟设计实训报告.doc_第3页
EDA数字钟设计实训报告.doc_第4页
EDA数字钟设计实训报告.doc_第5页
资源描述:

《EDA数字钟设计实训报告.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA实训报告指导教师朱小祥田欢专业电子信息工程技术班级电信1004班姓名张子维日期2012年5月21日—25日一、实训目的1、了解数字钟的工作原理。2、进一步熟悉用VHDL语言编写驱动七段码管显示的代码。3、掌握VHDL编写中的一些小技巧。二、实训原理多功能数字钟应该具有的功能有:显示时-分-秒、整点报时、小时和分钟可调等基本功能。首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,但是需要注意的是,小时的范围

2、是从0~23时。在实训中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为它的范围是从0~23,所以可以用一个2位的二进制码显示十位,用4位二进制码(BCD码)显示个位。实训中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描确需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟进行分频。对于整点报时功能,用户可以根据系统的硬件结构和自身的具体要求来设计。本实训设计的是当进行整点的倒计时5秒时,让LED来闪烁进行

3、整点报时的提示。三、实训内容本实验的任务就是设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10秒,即从整点前10秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5秒LED开始闪烁,过整点后,停止闪烁。调整时间的的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。四、实训步骤①认真听取老师介绍开发板硬件结构,仔细阅读EDA实训指导书,了解数字钟设计程序的大致过程。②根据之前写过的“精确24秒倒计时”程序,将其中的各个模块程序进行修改。③修改分频模块,将50M

4、HZ分频为1HZ,即周期为1s。④修改显示模块,使秒钟和分钟从00至59循环显示,秒钟每次从59跳到00,则分钟增加一分钟,使时钟从00至23循环显示,分钟每次从59跳到00,则时钟增加一小时,⑤在结构体处添加信号声明,使时钟、分钟和秒钟之间的“——”显示出来,即00-00-00。⑥添加按键模块,使S1,S2,分别控制时钟的加减,使S3,S4分别控制分钟的加减。⑦添加整点报时程序,当秒钟显示到50时,蜂鸣器开始发声,直至秒钟显示为00时停止。⑧完成整个程序后,设置引脚和端口,使其一一对应,然后编译仿真,硬件测试。五、实训VHDL程序libraryieee;

5、useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityshixunisport(clk:instd_logic;s1,s2,s3,s4:instd_logic;display:outstd_logic_vector(7downto0);seg_sel:outstd_logic_vector(7downto0);bell:bufferstd_logic);end;architecturertlofshixunissignalseg

6、bit:std_logic_vector(7downto0);signaldisP_decode:std_logic_vector(7downto0);signalsec1,sec10,disp_temp:integerrange0to10;signalg1,g2:integerrange10to10;signalmin1,min10:integerrange0to9;signalhour1,hour10:integerrange0to9;signalclk1hz:std_logic;signalcnt:std_logic_vector(19downto0

7、);signaltone:std_logic_vector(10downto0);signaltone_count:std_logic_vector(10downto0);signaltone_index:std_logic_vector(2downto0);signaltime:integerrange0to7;signalclk2Mhz:std_logic;begindisplay<=disP_decode;seg_sel<=segbit;process(clk)variablecnt1:integerrange0to49999999;beginifc

8、lk'eventandclk='1'thenifcnt1=4999

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。