VHDL语言的UART串行接口芯片设计程序清单.doc

VHDL语言的UART串行接口芯片设计程序清单.doc

ID:55632360

大小:353.00 KB

页数:41页

时间:2020-05-21

VHDL语言的UART串行接口芯片设计程序清单.doc_第1页
VHDL语言的UART串行接口芯片设计程序清单.doc_第2页
VHDL语言的UART串行接口芯片设计程序清单.doc_第3页
VHDL语言的UART串行接口芯片设计程序清单.doc_第4页
VHDL语言的UART串行接口芯片设计程序清单.doc_第5页
资源描述:

《VHDL语言的UART串行接口芯片设计程序清单.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL语言的UART串行接口芯片设计程序清单附录1数据接收据器的VHDL语言描述清单LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;useieee.std_logic_signed.all;ENTITYUART_receiverISPORT(RxD,Bclkx8,sysclk,reset,RDRF:INSTD_LOGIC;      RDR:OUTS

2、TD_LOGIC_VECTOR(7DOWNTO0);      setRDRF,setOE,setFE:OUTSTD_lOGIC);ENDUART_receiver;ARCHITECTURErtlOFUART_receiverISTYPEstateTYPEIS(R_WAiT,START_DETECTED,R_DATA);SIGNALstate,nextstate:stateTYPE;SIGNALRSR:STD_LOGIC_VECTOR(7DOWNTO0);SIGNALcnt1:INTEGERRAN

3、GE0TO7;SIGNALcnt2:INTEGERRANGE0TO8;signalclr1,clr2:std_logic;SIGNALinc1,inc2,shftRSR,loadRDR:STD_LOGIC;SIGNALBclkx8_Dlayed,Bclkx8_rising:STD_LOGIC;BEGINBclkX8_rising<=Bclkx8AND(NOTBclkx8_dlayed);R_control:PROCESS(state,RxD,RDRF,cnt1,cnt2,BclkX8_rising

4、)BEGIN--inc1<='0';inc2<='0';--clr1<='0';clr2<='0';shftRSR<='0';loadRDR<='0';setRDRF<='0';setOE<='0';setFE<='0';CASEstateIS   WHENR_WAIT=>        IF(Rxd='0')THENnextstate<=START_DETECTED;           ELSEnextstate<=R_WAIT;        ENDIF;    WHENSTART_DETE

5、CTED=>           IF(Bclkx8_rising='0')THEN              nextstate<=START_DETECTED;           ELSIF(RxD='1')THEN              clr1<='1';nextstate<=R_WAIT;           ELSIF(cnt1=3)THEN              clr1<='1';nextstate<=R_WAIT;           ELSE             

6、 inc1<='1';nextstate<=START_DETECTED;           ENDIF;WHENR_DATA=>   IF(Bclkx8_rising='0')THENnextstate<=R_DATA;ELSEinc1<='1';IF(cnt1/=7)THENnextstate<=R_DATA;ELSIF(cnt2/=8)THEN     shftRSR<='1';inc2<='1';clr1<='1';    nextstate<=R_DATA;ELSE    Nextst

7、ate<=R_WAIT;    setRDRF<='1';clr1<='1';clr2<='1';    IF(RDRF='1')THENsetOE<='1';    ELSIF(RXD='0')THENsetFE<='1';    ELSEloadRDR<='1';    ENDIF;                 ENDIF;           ENDIF;    ENDCASE;ENDPROCESS;R_update:PROCESS(sysclk,reset)BEGINIF(reset=

8、'0')THENstate<=R_WAIT;BclkX8_Dlayed<='0';    cnt1<=0;cnt2<=0;ELSIF(syscLk'EVENTANDsysclk='1')THEN   state<=nextstate;   IF(clr1='1')THENcnt1<=0;    ELSIF(inc1='1')THENcnt1<=cnt1+1;   ENDIF;   IF(clr2='1')THENcnt2<=0;    ELSIF(inc2='1')THENcnt2

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。