eda 原理与应用实验

eda 原理与应用实验

ID:5369947

大小:459.70 KB

页数:37页

时间:2017-12-08

eda 原理与应用实验_第1页
eda 原理与应用实验_第2页
eda 原理与应用实验_第3页
eda 原理与应用实验_第4页
eda 原理与应用实验_第5页
资源描述:

《eda 原理与应用实验》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA原理与应用实验孝感学院电工电子实验教学中心二〇〇六年五月目录实验一8位加法器的设计………………………………………….……...….……2实验二序列检测器的设计………………………....................................................5实验三正负脉宽数控调制信号发生器的设计…………………………..………7实验四数字频率计的设计………………………………………………..………10实验五数字秒表的设计…………………………………………………..………15实验六交通灯信号控制器的设计……………

2、…………………………..………20实验七简易函数发生器设计…………………………………………….……….32实验八2FSK调制解调电路的设计………………………………………….…..34实验九简易交通灯电路的设计并制成PCB板……..……………………….….35实验十时钟显示电路的设计………………….………………….....……………361实验一8位加法器的设计[实验目的]1.学习MAX+plusII软件的基本使用方法。2.学习GW48-CKEDA实验开发系统的基本使用方法。3.了解VHDL程序的基本结构[实验内容]设计并调试好一个由两个

3、4位二进制并行加法器级联而成的8位二进制并行加法器,并用GW48-CKEDA实验开发系统(拟采用的实验芯片的型号为EPF10K10LC84-3)进行硬件验证。[实验要求]1.画出系统的原理框图,说明系统中各主要组成部分的功能。2.编写各个VHDL源程序。3.根据选用的软件编好用于系统仿真的测试文件。4.根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。5.记录系统仿真、硬件验证结果。6.记录实验过程中出现的问题及解决办法。[实验仪器及用具]微型计算机,EDA实验箱,Max+PlusII软件。参考程序:VHDL源程序1)

4、4位二进制并行加法器的源程序ADDER4B.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYADDER4BIS--4位二进制并行加法器PORT(C4:INSTD_LOGIC;--低位来的进位A4:INSTD_LOGIC_VECTOR(3DOWNTO0);--4位加数B4:INSTD_LOGIC_VECTOR(3DOWNTO0);--4位被加数S4:OUTSTD_LOGIC_VECTOR(3DOWNTO0);---4位和CO4:

5、OUTSTD_LOGIC);--进位输出ENDENTITYADDER4B;1ARCHITECTUREARTOFADDER4BISSIGNALS5:STD_LOGIC_VECTOR(4DOWNTO0);SIGNALA5,B5:STD_LOGIC_VECTOR(4DOWNTO0);BEGINA5<='0'&A4;--将4位加数矢量扩为5位,为进位提供空间B5<='0'&B4;--将4位被加数矢量扩为5位,为进位提供空间S5<=A5+B5+C4;S4<=S5(3DOWNTO0);CO4<=S5(4);ENDARCHITECTUREART;2

6、)8位二进制加法器的源程序ADDER8B.VHDLIBRARYIEEE;USEIEEE.STE_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL:ENTITYADDER8BIS--由4位二进制并行加法器级联而成的8位二进制加法器PORT(C8:INSTD_LOGIC;A8:INSTD_LOGIC_VECTOR(7DOWNTO0);B8:INSTD_LOGIC_VECTOR(7DOWNTO0);S8:OUTSTD_LOGIC_VECTOR(7DOWNTO0);CO8:OUTSTD_LOGIC);

7、ENDENTITYADDER8B;ARCHITECTUREARTOFADDER8BISCOMPONENTADDER4BIS--对要调用的元件ADDER4B的界面端口进行定义PORT(C4:INSTD_LOGIC;A4:INSTD_LOGIC_VECTOR(3DOWNTO0);B4:INSTD_LOGIC_VECTOR(3DOWNTO0);S4:OUTSTD_LOGIC_VECTOR(3DOWNTO0);CO4:OUTSTD_LOGIC);ENDCOMPONENTADDER4B;SIGNALSC:STD_LOGIC;--4位加法器的进位

8、标志BEGINU1:ADDER4B--例化(安装)一个4位二进制加法器U12PORTMAP(C4=>C8,A4=>A8(3DOWNTO0),B4=>B8(3DOWNTO0),S4=>S8(3DOWNTO0),CO4=>S

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。