基于fpga的数字脉冲压缩系统实现

基于fpga的数字脉冲压缩系统实现

ID:5365760

大小:366.20 KB

页数:5页

时间:2017-12-08

基于fpga的数字脉冲压缩系统实现_第1页
基于fpga的数字脉冲压缩系统实现_第2页
基于fpga的数字脉冲压缩系统实现_第3页
基于fpga的数字脉冲压缩系统实现_第4页
基于fpga的数字脉冲压缩系统实现_第5页
资源描述:

《基于fpga的数字脉冲压缩系统实现》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、万方数据基于FPGA的数字脉冲压缩系统实现庞龙,陈禾(北京理工大学信息与电子学院雷达技术研究所,北京100081)摘要:针对采用线性调频信号的宽带雷达系统,完成单通道高速数据采集和数字脉冲压缩系统的工程实现。系统使用ADS5500完成14位、60MSPS的数据采集,使用FPGA实现1024点的数字脉冲压缩。脉冲压缩模块采用快速傅里叶变换IP核进行设计,可以在脉冲压缩的不同阶段对其进行复用,分别完成FFT和lFFT运算。从而使硬件规模大大减少。系统采用块浮点数据格式以提高动态范围,同时减小截断(或舍入)误差对输出

2、信噪比的影响。关键词:数字脉冲压缩;快速傅里叶变换;块浮点;知识产权核;现场可编程门阵列中图分类号:TN911;TP274文献标识码:A文章编号:l004-373X(20LO)14—0190—03ImplementationofDigitalPulseCompressionSystemBasedonFPGAPANGLong,CHENHe(RadarTechnologyResearchInstitute.SchoolofInformationandElectronics。BellingInstituteofTec

3、hnology,BeOmg100081,China)Abstract:Asingle-channelhigh—speeddataacquisitionanddigitalpulsecompressionsystemisimplemented/orthewide—bandradarsystemwithLFMsignal.ADS5500isusedfor14b,60MSPSdataacquisitionandFPGAisusedfor1024pointsdigitalpulsecompression(DPC).Th

4、eDPCmoduleisdesignedusingFFTIPcorewhichcanbereusedindifferentperiodsofDPC。respectivelyperformingFFTandIFFTcalculation,sothatthehardwareconsumptionissavedsignificantly.Theblockfloating—pointdataformatisusedtoenhancedynamicrange。anddiminishtruncationorrounding

5、errorwhichaffectstheout—putsignaltonoiseratio.Keywords:digitalpulsecompression;FFT;blockfloatingpoint;IPcore;FPGA0引言脉冲压缩体制在现代雷达中被广泛采用,通过发射宽脉冲来提高发射的平均功率,保证足够的作用距离;接收时则采用相应的脉冲压缩算法获得脉宽较窄的脉冲,以提高距离分辨力,从而能够很好地解决作用距离和距离分辨力之间的矛盾问题【l‘3]。线性调频(LFM)信号通过在宽脉冲内附加载波线性调制以扩展信

6、号带宽,从而获得较大的压缩比。所需匹配滤波器对回波信号的多普勒频移不敏感,因此LMF信号在目前许多雷达系统中仍在广泛使用H巧]。本文基于快速傅里叶IP核可复用和重配置的特点,实现一种频域的FPGA数字脉压处理器,能够完成正交输入的可变点LFM信号脉冲压缩,具有设计灵活,调试方便,可扩展性强的特点。1系统功能硬件实现方法该系统为某宽带雷达系统的数据采集和数字脉冲压缩部分。系统要求在1个脉冲重复周期(PRT)内完收稿日期:2010-01-24190成距离通道的数据采集及1024点的数字脉冲压缩,并在当前PRT将脉压

7、结果传送至DSP,其硬件结构如图1N示。图1系统硬件实现框图数据采集系统主要包括前端的运算放大器和模/数转换器。运算放大器选用ADI公司的AD8138,将输入信号由单端转换为差分形式以满足AI)C的输入需求,并且消除共模噪声的影响。模/数转换器选用TI公司的ADS5500,具有14b的分辨率和125MSPS的最高采样率,用来对输入LFM信号进行60MHz的高速采样。数字脉冲压缩模块在FPGA中实现,FPGA选用Xilinx公司的XQ2V1000芯片。在对输入采样数据进行脉冲压缩后,结果存储于FPGA片内的双口R

8、AM中,并向DSP发送中断信号。DSP在接收到中断信号万方数据后读取RAM中的脉压数据进行主处理。2脉冲压缩模块的设计和实现2.1脉冲压缩原理数字脉冲压缩技术是匹配滤波和相关接收理论的实际应用,频域的匹配滤波等效于时域的相关接收。基于匹配滤波理论实现数字脉冲压缩的原理如图2所示。堡竺堕兰,厅函石万1,厂磊],几五而1翟,加权H,,·(,}=I砌Ie,”上¨渡伫号s(o打图2脉冲压I缩原

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。