集成电路设计的EDA系统概述.ppt

集成电路设计的EDA系统概述.ppt

ID:52265908

大小:1.39 MB

页数:89页

时间:2020-04-03

集成电路设计的EDA系统概述.ppt_第1页
集成电路设计的EDA系统概述.ppt_第2页
集成电路设计的EDA系统概述.ppt_第3页
集成电路设计的EDA系统概述.ppt_第4页
集成电路设计的EDA系统概述.ppt_第5页
资源描述:

《集成电路设计的EDA系统概述.ppt》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、1集成电路设计的EDA系统概述6.1EDA系统概述EDA系统的发展第一代:60年代末:版图编辑和检查第二代:80年代初:原理图输入、逻辑模拟向下第三代:从RTL级输入向下,包括行为仿真、行为综合、逻辑综合等流行的EDA系统:Cadence,MentorGraphics,Viewlogic,Compass,Panda等EDA系统的理想作用:实现完全的自动化设计,设计出各种各样的电路2EDA系统的实际作用设计信息输入:语言输入编辑工具高层次描述的图形输入工具:VHDL功能图输入、逻辑图/电路图输入编辑、版图输入编辑设计实现:综合器设计验证:验证系

2、统/电路符合功能/性能要求及设计规则要求模拟器进行模拟(仿真)分析规则的检查什么是模拟?对于设计输入抽象出模型,施加外部激励,观察输入,进行判断3整个设计过程就是把高层次的抽象描述逐级向下进行综合、验证、实现,直到物理级的低层次描述,即掩膜版图。各设计阶段相互联系,例如,寄存器传输级描述是逻辑综合的输入,逻辑综合的输出又可以是逻辑模拟和自动版图设计的输入,版图设计的结果则是版图验证的输入。EDA系统介入了包括系统功能设计、逻辑和电路设计以及版图设计等在内的集成电路设计的各个环节4主要内容高层级描述及模拟综合逻辑模拟电路模拟时序分析和混合模拟版

3、图设计的EAD工具器件模拟和工艺模拟56.2系统描述与模拟:VHDL语言及模拟VHDL语言出现背景一种硬件描述语言(hardwaredescriptionlanguage)广义地说,描述电子实体的语言:逻辑图,电路图大规模电路的出现:逻辑图、布尔方程不太适用,需要在更高层次上描述系统出现多种HDL语言,为便于信息交换和维护,出现工业标准6通常指高层设计阶段描述硬件HDL语言的特点抽象地进行行为描述结构化语言:可以描述电子实体的结构多层次混合描述既可被模拟,又可被综合能提供VHDL模拟器的公司:Cadence、MentorGraphics、Vi

4、ewlogic、Synopsys等大型EDA公司和CLSI、Model-Technology、Vantage等专门公司Verilog7VHDL语言基本概念:描述硬件电路,可以抽象地表示电路的行为和结构(完成什么功能,怎样组成)作用:对IC设计,支持从系统级到门和器件级的电路描述,并具有在不同设计层次上的模拟验证机制可作为综合软件的输入语言,支持电路描述由高层向低层的转换建模机制、模拟算法、模拟环境8建模机制基本结构行为描述结构描述9VHDL语言的建模机制 ——基本结构一个硬件单元在VHDL中看作一个设计实体实体外观实体说明:实体命名,实体与外

5、部环境的接口描述,未涉及其内部行为及结构实体功能在结构体中实现结构体:实体的输入-输出关系,实体的结构和行为描述对应一个实体说明可以有多个结构体,不同的实现方案1011功能描述:行为描述数据流描述结构描述混合描述12Architecturebehavioralofhalf_adderis行为描述:描述外部行为beginprocessSUM<=A+B;CO<=AandB;waitonA,B;endprocess;endbehavioral;Architecturebehavioralofhalf_adderis数据流描述,未涉及具体结构begi

6、nSUM<=A+B;CO<=AandB;endbehavioral;13Architecturebehavioralofhalf_adderiscomponentXOR元件的外观说明(表示符号,与实体不同)port(I1:instd_logicI2:instd_logicO1:outstd_logic);endcomponent;componentAND2port(I1:instd_logicI2:instd_logicO1:out_std_logic);endcomponent;beginU1:XORportmap(A,B,SUM);元件引

7、用,生成例元(标号:元件名端口映射)U2:AND2portmap(A,B,CO);endbehavioral;14VHDL语言的建模机制 ——行为描述电子实体中的行为:反映信号的变化、组合和传播行为的特点是信号的延迟和并行性VHDL中描述行为的基本单位是进程,由进程语句描述。15进程之间是并行的,进程内部是顺序执行的。进程语句本身由一系列的顺序语句组成,顺序语句发生在该进程被激活的同一时刻16信号:各进程之间的通信,数据通路。信号的状态可能影响与信号相关的进程的状态信号赋值:模拟周期:在时刻t,从一些信号更新、若干进程被激活到进程被挂起信号在

8、一个模拟周期完成求值,延迟td后更新值,td是信号延迟,也称DELTA延迟,在同一模拟时刻,发生t,t+td,t+2td,….多个模拟周期17进程并行:每个进程仅在

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。