M序列原理及其verilog实现.docx

M序列原理及其verilog实现.docx

ID:50812050

大小:225.67 KB

页数:3页

时间:2020-03-14

M序列原理及其verilog实现.docx_第1页
M序列原理及其verilog实现.docx_第2页
M序列原理及其verilog实现.docx_第3页
资源描述:

《M序列原理及其verilog实现.docx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、M序列原理及其verilog实现一.定义m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声码(PN:pseudo-noiseSequence)。可以预先确定并且可以重复实现的序列称为确定序列;既不能预先确定又不能重复实现的序列称为随机序列;不能预先确定但可以重复实现的序列称为伪随机序列。二.原理图1.线性反馈移位寄存器原理框图如图所示,m序列可由二进制线性反馈移位寄存器产生。它主要由n个串联的寄存器、移位脉冲产生器和模2加法器组成。图中第i级移存器的状态ai表示,ai=0或ai=1,i=整数。反馈线的连接状态用ci表示,ci=1表示此线接通(参加反馈),ci=0表示

2、此线断开。由于反馈的存在,移存器的输入端受控地输入信号。不难看出,若初始状态为全“0”,则移位后得到的仍为全“0”,因此应避免出现全“0”状态,又因为n级移存器共有2^n种可能的不同状态,除全“0”状态外,剩下2^n-1种状态可用。每移位一次,就出现一种状态,在移位若干次后,一定能重复出现前某一状态,其后的过程便周而复始了。反馈线位置不同将出现不同周期的不同序列,我们希望找到线性反馈的位置,能使移存器产生的序列最长,即达到周期P=2^n-1。按图中线路连接关系,可以写为:ak=c1an-1+c2an-2+…+cna0=i=1ncian-i该式称为递推方程。上面曾经指出,ci的取

3、值决定了移位寄存器的反馈连接和序列的结构。现在将它用下列方程表示:fx=c0+c1x+c2x2+…+cnxn=i=1ncixi该方程称为特征多项式。式中xi仅指明其系数ci的值(1或0),x本身的取值并无实际意义,也不需要去计算x的值。例如,若特征方程为f(x)=1+x+x4则它仅表示x0,x1和x4的系数c0=c1=c4=1,其余为零。经严格证明:若反馈移位寄存器的特征多项式为本原多项式,则移位寄存器能产生m序列。只要找到本原多项式,就可构成m系列发生器。三.本原多项式若一个n次多项式f(x)满足下列条件:1)f(x)为既约的,即不能再因式分解;2)f(x)可整除(x^m+1

4、),m=2^n-1;3)f(x)除不尽(x^q+1),q

5、位后的序列逐位模2相加,所得的序列还是m序列,只是相移不同而已。(5)二值自相关特性:码位数越长越接近于随机噪声的自相关特性。五.verilog实现modulem_sequence(inputsclk,inputrst_n,outputwirem_seq);parameterPOLY=8'b10001110;//由本原多项式得到reg[7:0]shift_reg;always@(posedgesclkornegedgerst_n)beginif(rst_n==0)beginshift_reg<=8'b11111111;//初值不可为全零endelsebeginshift_reg

6、[7]<=(shift_reg[0]&POLY[7])^(shift_reg[1]&POLY[6])^(shift_reg[2]&POLY[5])^(shift_reg[3]&POLY[4])^(shift_reg[4]&POLY[3])^(shift_reg[5]&POLY[2])^(shift_reg[6]&POLY[1])^(shift_reg[7]&POLY[0]);shift_reg[6:0]<=shift_reg[7:1];endendassignm_seq=shift_reg[0];endmodule

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。