EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt

EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt

ID:50046707

大小:450.50 KB

页数:36页

时间:2020-03-08

EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt_第1页
EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt_第2页
EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt_第3页
EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt_第4页
EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt_第5页
资源描述:

《EDA技术实用教程 第2版 教学课件 作者 李洋第6章 EDA技术综合应用设计举例.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA—ElectronicDesignAutomation电子设计自动化1第1章EDA技术导论第2章电子工作平台(EWB)的使用第3章可编程逻辑器件第4章MAXPLUSⅡ软件第5章VHDL硬件描述语言第6章EDA技术综合应用设计举例课程总体内容:2第1章EDA技术导论第2章电子工作平台(EWB)的使用第3章可编程逻辑器件第4章MAXPLUSⅡ软件第5章VHDL硬件描述语言第6章EDA技术综合应用设计举例课程总体内容:3内容提要第6章EDA技术综合应用设计举例6.1交通灯信号控制器的设计6.28位加法器的设计6.3数据采集系统设计6.4电子密码锁的设计6.5抢答器的设计46.1交通灯信号控制

2、器的设计1.设计思路设交通灯信号控制器用于主干道与支道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通灯切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。此外,主干道和支道每次通行的时间不得短于30s,而在两个状态交换过程出现的“主黄,支红”和“主红,支黄”状态,持续时间都为4s。根据交通灯信号控制的要求,我们可把它分解为定时器和控制器两部分,其原理方框图如图6.1所示。5图6.1交通灯信号控制器原理方框图6.1交通灯信号控制器的设计62.VHDL源程序LIBRAR

3、YIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYJTDKZISPORT(CLK,SM,SB;INBIT;--这里要求CLK为1kHzMR,MY,MG,BR,BY,BG:OUTBIT);ENDJTDKZ;ARCHITECTUREARTOFJTDKZISTYPESTATE_TYPEIS(A,B,C,D);SIGNALSTATE:STATE_TYPE;BEGIN6.1交通灯信号控制器的设计7CNT:PROCESS(CLK)VARIABLES:INTEGERRANGE0TO29;VARIABLECLR,EN:BIT;BEGINIF(CLK'EVENTANDCLK='1'

4、)THENIFCLR='0'THENS:=0;ELSIFEN='0'THENS:=S;ELSES:=S+1;ENDIF;CASESTATEISWHENA=>MR<='0';MY<='0';MG<='1';BR<='1';BY<='0';BG<='0';6.1交通灯信号控制器的设计8IF(SBANDSM)='1'THENIFS=29THENSTATE<=B;CLR:='0';EN:='0';ELSESTATE<=A;CLR:='1';EN:='1';ENDIF;ELSIF(SBAND(NOTSM))='1'THENSTATE<=B;CLR:='0';EN:='0';ELSESTATE<=A;C

5、LR:='1';EN:='1';ENDIF;WHENB=>MR<='0';MY<='1';MG<='0';BR<='1';BY<='0';BG<='0';6.1交通灯信号控制器的设计9IFS=3THENSTATE<=C;CLR:='0';EN:='0';ELSESTATE<=B;CLR:='1';EN:='1';ENDIF;WHENC=>MR<='1';MY<='0';MG<='0';BR<='0';BY<='0';BG<='1';IF(SMANDSB)='1'THENIFS=29THENSTATE<=D;CLR:='0';EN:='0';ELSESTATE<=C;CLR:='1';EN:=

6、'1';ELSIFSB='0'THENSTATE<=D;CLR:='0';EN:='0';6.1交通灯信号控制器的设计10ELSESTATE<=C;CLR:='1';EN:='1';ENDIF;WHEND=>MR<='1';MY<='0';MG<='0';BR<='0';BY<='1';BG<='0';IFS=3THENSTATE<=A;CLR:='0';EN:='0';ELSESTATE<=D;CLR:='1';EN:='1';ENDIF;ENDCASE;ENDIF;ENDPROCESSCNT;ENDART;6.1交通灯信号控制器的设计113.硬件逻辑验证选择实验电路结构图NO.1,由5.

7、2节的实验电路结构图NO.1和图6.10确定引脚的锁定。时钟脉冲CLK可接CLOCK0(1Hz),主干道和支干道来车信号分别接键7和键8,主干道和支干道红、黄、绿灯驱动信号MR、MY、MG和BR、BY、BG分别接D1~D3和D8~D6。进行硬件验证时方法如下:选择实验模式1,时钟脉冲与CLOCK0信号组中的1Hz信号相接,在键7和键8施加相应的信号,发光二极管D1~D3、D8~D6则按控制要求显示相应的信号。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。