EDA技术实用教程.ppt

EDA技术实用教程.ppt

ID:49287110

大小:1.23 MB

页数:35页

时间:2020-02-03

EDA技术实用教程.ppt_第1页
EDA技术实用教程.ppt_第2页
EDA技术实用教程.ppt_第3页
EDA技术实用教程.ppt_第4页
EDA技术实用教程.ppt_第5页
EDA技术实用教程.ppt_第6页
EDA技术实用教程.ppt_第7页
EDA技术实用教程.ppt_第8页
EDA技术实用教程.ppt_第9页
EDA技术实用教程.ppt_第10页
资源描述:

《EDA技术实用教程.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、1EDA技术实用教程淘花/百度专用211.1EDA软件接口流程VHDL文本编辑VHDLVHDL文本编辑仿真综合SYNPLIFYFPGAEXPRESSFPGACOMPILERII1、行为仿真LEONARDO2、功能仿真3、时序仿真………FPGA/CPLD适配逻辑综合器结构综合器FPGA/CPLD器件和电路系统FPGA/CPLD时序与功能编程下载门级仿真图11-1EDA淘工花程/百度接专口用流程11.2Synplify与MAX+plusII的接3口1.输入设计工具栏菜单状态栏图11-2SynplifyPro启动后界面按钮面板项目浏览窗口标签Tcl命令窗淘花/百度专用4

2、11.2Synplify与MAX+plusII的接口1.输入设计图11-3Synplify新建项目对话框淘花/百度专用511.2Synplify与MAX+plusII的接口3.综合前控制设置4.综合5.结果检测淘花/百度专用611.2Synplify与MAX+plusII的接口图11-4Synplify的RTL级原理图淘花/百度专用711.2Synplify与MAX+plusII的接口淘花/百度专用图11-5Synplify的综合后门级电路图8【例11-1】libraryieee;useieee.std_logic_1164.all;useieee.std_log

3、ic_unsigned.all;entitycnt4isport(d:instd_logic_vector(3downto0);ld,ce,clk,rst:instd_logic;q:outstd_logic_vector(3downto0));endcnt4;architecturebehaveofcnt4issignalcount:std_logic_vector(3downto0);beginprocess(clk,rst)beginifrst='1'thencount<=(others=>'0');elsifrising_edge(clk)thenifld

4、='1'thencount<=d;elsifce='1'thencount<=count+1;endif;endif;endprocess;q<=count;endbehave;淘花/百度专用911.2Synplify与MAX+plusII的接口6.设定EDF文件为工程。7.选定EDF文件来源。图11-6Synplify的综合后门级电路图淘花/百度专用1011.2Synplify与MAX+plusII的接口8.选定目标器件9.编译适配图11-7Synplify与Altera接口流程淘花/百度专用1111.3Synplify与ispEXPERTCompiler的接口

5、接口步骤如下:(1)生成EDIF网表文件。(2)ispEXPERTCompiler设置。淘花/百度专用12(2)ispEXPERTCompiler设置。图11-8建立淘新花/百工度程专用对话框13(2)ispEXPERTCompiler设置。图11-9设定阅读Synplify的EDF文件淘花/百度专用11.3Synplify与ispEXPERTCompiler的接14口(3)读入EDIF文件。图11-10选择适配目标器件对话框淘花/百度专用1511.3Synplify与ispEXPERTCompiler的接口(4)选定目标器件。(5)引脚锁定。图11-11芯片引脚

6、锁定对话框淘花/百度专用1611.3Synplify与ispEXPERTCompiler的接口(6)编译适配(7)生成仿真文件。(8)编程下载。淘花/百度专用图11-12在系统编程下载窗口1711.4ModelSim与MAX+plusII的接口ModelSim支持下列语言标准:IEEEVHDL’87和’93标准:IEEEStd.1076-’87&‘93。VHDLVHDL多值逻辑系统标准:IEEE1164-1993。VHDL标准数学程序包:IEEE1076.2-1996。淘花/百度专用18ModelSim支持下列语言标准:IEEEVerilog标准:IEEE1364

7、-’95。VerilogIEEEVerilog2001标准:IEEE1364-2001(部分支持)。OVIVerilogLRM2.0(大部分支持。OVI:OpenVerilogInternational)。PLI1.0(PLI:ProgrammingLanguageInterface)。VCD(ValueChangeDump)。Verilog淘花/百度专用19ModelSim支持下列语言标准:VITAL2.2b和VITAL’95(IEEE1076.4-1995)。VITALVITAL2000。SDF(StandardDelayFormat,标准延迟格式)SDF标准

8、:SDF1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。