EDA技术实用教程.ppt

EDA技术实用教程.ppt

ID:48062582

大小:3.41 MB

页数:311页

时间:2020-01-13

EDA技术实用教程.ppt_第1页
EDA技术实用教程.ppt_第2页
EDA技术实用教程.ppt_第3页
EDA技术实用教程.ppt_第4页
EDA技术实用教程.ppt_第5页
资源描述:

《EDA技术实用教程.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术 实用教程教案第四版潘松黄继业编教材的优点和缺点优点:先易后难,注重速成用例子说话缺点:对一些概念说法不准确难以形成统一的概念,容易出现理解上的偏差不全面,容易造成误区第一章概述什么是EDA?本意:ElectronicDesignAutomation,电子设计自动化在教材中“EDA”是指依赖于功能强大的计算机,在EDA工具软件平台上,对以硬件描叙语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。这门课讲的内容只是“ED

2、A”中很小很小的一部分内容。实现ASIC的三种途径可编程逻辑器件CPLDFPGA半定制或全定制ASIC混合ASICEDA技术的实现目标完成专用集成电路ASIC的设计和实现(这种说法不太全面)常用硬件描述语言(HDL)VHDLVerilogHDLSystemVerilogSystemCVerilogHDL与VHDL最常用VerilogHDL与VHDL的比较VHDL来源于古老的Ada语言,VerilogHDL来源于C语言,VerilogHDL受到一线工作的工程师的青睐。90%以上的公司采用verilogHDL进行IC设计,ASIC设计必须学习Veril

3、ogHDL,VerilogHDL在工业界通用些,VHDL在大学教学中使用较多VerilogHDL在系统级抽象方面比VHDL差一些,在门级开关电路描叙方面VerilogHDL比VHDL强很多VHDL比较严谨,VerilogHDL格式要求宽松些两种设计方法模块化层次化集成电路设计的层次抽象层次时序单位基本单位电路的功能(行为)描述系统级System数据处理进程及通信自然语言描述或相互通信的进程行为级(算法级)Algorithm运算步运算的控制行为有限状态机、数据流图、控制流图寄存器传输级(RTL)时钟周期寄存器、计数器、多路选择器、算术逻辑单元布尔方程

4、、二元决策图、有限状态机逻辑门级(Logic)延时与门、或门、触发器、锁存器等原理图,VHDL门(电路)级(Gate)物理时间晶体管、R、L、C电压、电流之间的微分方程物理级(版图级)(Layout)几何图形几何图形(硅表面上的扩散区、多晶硅和金属等)隐含在器件的物理方程中综合(synthesis)将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。(是从外文翻过来的别扭的句子)从算法表示转换到寄存器传输级,即行为综合从RTL级表示转换到逻辑门的表示,即逻辑综合从逻辑门表示转换为版图表示,即版图综合或结构综合综合与编译的

5、比较编译过程基本属于一种一一对应式的,机械转换式的“翻译”行为综合具有明显的能动性和创造性,根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。对于相同的VHDL表述,综合器可以用不同的电路结构实现相同的功能。适配(Fitter)适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。逻辑综合通过后必须利用适配器将综合后网表文件针对某一具体的目标器件进行逻辑映射

6、操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑布局布线操作。适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时产生可用于编程的文件。功能仿真和时序仿真时序仿真功能仿真就是接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,因而,仿真精度高。是直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求的过程,仿真过程不涉及任何具体器件的硬件特性。自项向下的设计方法设计说明书行为模型行为仿真RTL级建模前端功能仿真逻辑综合测试向量生成功能仿真结构综合门级时序仿真硬件测试EDA设计流

7、程及其工具“自顶向下”和“自下向顶”互为补充原先是采用“自下向顶”的设计方法现在流行“自顶向下”的设计方法两种方法各有利和弊,只强调“自顶向下”是错误的观点两种方法互相结合和补充设计输入,功能验证1)设计输入,利用HDL(文本)输入工具、原理图(框图)输入工具、状态机输入或流程图输入工具等把所要设计的电路描述出来;2)功能验证,也就是前仿真,利用Modelsim等仿真工具对设计进行仿真,检验设计的功能是否正确;常用的仿真工具有ModelTech公司的ModelSim,Synopsys公司的VCS,Cadence公司的NC-Verilog和NC-VH

8、DL,Aldec公司的ActiveHDLVHDL/VerilogHDL等。仿真过程能及时发现设计中的错误,加快了设计进度,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。