6 宏功能模块与IP应用.ppt

6 宏功能模块与IP应用.ppt

ID:48779171

大小:1.27 MB

页数:29页

时间:2020-01-27

6  宏功能模块与IP应用.ppt_第1页
6  宏功能模块与IP应用.ppt_第2页
6  宏功能模块与IP应用.ppt_第3页
6  宏功能模块与IP应用.ppt_第4页
6  宏功能模块与IP应用.ppt_第5页
资源描述:

《6 宏功能模块与IP应用.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术基础第6章宏功能模块与IP应用6.1宏功能模块概述LPM:LibraryofParameterizedModules(参数可设置模块库)使用可以以图形或硬件描述语言模块形式方便调用的宏功能块,能大大提高基于EDA技术的电子设计技术的效率和可靠性。这些宏功能模块经严格的测试和优化,只要为其设置适当的参数,就能满足设计需要,相当于十分方便地调用优秀电子工程人员的硬件设计成果。算术组件:包括累加器、加法器、乘法器和LPM算术函数。门电路:包括多路复用器和LPM门函数。I/O组件:包括时钟数据恢复(CDR)和锁相环(PLL)等。存储器编译器:包括F

2、IFOPartitioner、RAM和ROM等。存储组件:包括存储器、移位寄存器宏模块和LPM存储器函数。主要有:6.2LPM_ROM宏模块应用实例正弦信号发生器结构框图6.2.1工作原理地址发生器正弦信号数据ROM顶层设计8位D/Af=f0/646.2.2定制初始化数据文件⑴.建立.mif格式文件QuartusⅡ能接受的PLM_ROM模块中的初始化数据文件的格式有两种:MemoryInitializationFile(.mif)格式和Hexadecimal(Intel-format)File(.hex)格式。以64点正弦波形数据为例在New窗口选择

3、OtherFiles,再选择MemoryInitizationFile项,选数据Number为64,数据宽Wordsize取8;将波形数据填入表中以.mif格式保存此数据,如romd.mif。WIDTH=8;DEPTH=64;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;CONTENTBEGIN0:FF;1:FE;2:FC;3:F9;4:F5;…(数据略去)3D:FC;3E:FE;3F:FF;END;也可以使用其他的编辑器设计mif文件,其格式如下所示。⑵.建立.hex格式文件将波形数据填入.hex文件中在New窗口选择Other

4、Files,再选择Hexadecimal(Intel-format)File项,选数据Number为64,数据宽Wordsize取8;工程的主文件存放是E:SIN_GNT,波形数据存放在E:SIN_GNTDATAHEX中.6.2.3定制LPM_ROM元件⑴在Tools菜单中选择MegaWizardPlug-InManager⑵选择ROM模块数据线和地址线宽⑶选择指定路径上的文件LIBRARYieee;USEieee.std_logic_1164.all;LIBRARYaltera_mf;USEaltera_mf.altera_mf_comp

5、onents.all;--使用宏功能库中的所有元件ENTITYdata_romISPORT(address:INSTD_LOGIC_VECTOR(5DOWNTO0);inclock:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDdata_rom;ARCHITECTURESYNOFdata_romISSIGNALsub_wire0:STD_LOGIC_VECTOR(7DOWNTO0);COMPONENTaltsyncram--例化altsyncram元件,调用了LPM模块altsyncramGENERI

6、C(--参数传递语句intended_device_family:STRING;--类属参量数据类型定义width_a:NATURAL;widthad_a:NATURAL;numwords_a:NATURAL;operation_mode:STRING;outdata_reg_a:STRING;address_aclr_a:STRING;outdata_aclr_a:STRING;width_byteena_a:NATURAL;init_file:STRING;lpm_hint:STRING;lpm_type:STRING);PORT(clock0:

7、INSTD_LOGIC;--altsyncram元件接口声明address_a:INSTD_LOGIC_VECTOR(5DOWNTO0);q_a:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDCOMPONENT;(接下页)最后生成的ROM元件文件DATA_ROM.VHD如下:BEGINq<=sub_wire0(7DOWNTO0);altsyncram_component:altsyncramGENERICMAP(intended_device_family=>"Cyclone",--参数传递映射width_a=>8,--数据线宽

8、度8widthad_a=>6,--地址线宽度6numwords_a=>64,--数据数量64operatio

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。