学习情境五数字钟设计.ppt

学习情境五数字钟设计.ppt

ID:48192180

大小:393.50 KB

页数:54页

时间:2020-01-15

学习情境五数字钟设计.ppt_第1页
学习情境五数字钟设计.ppt_第2页
学习情境五数字钟设计.ppt_第3页
学习情境五数字钟设计.ppt_第4页
学习情境五数字钟设计.ppt_第5页
资源描述:

《学习情境五数字钟设计.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、学习情境五:数字钟设计一.项目目的掌握六十进制,二十四进制计数器的设计方法掌握混合设计方法掌握多位共阳数码管动态扫描显示驱动及编码掌握基于FPGA的层次化设计方法学习VHDL基本单元电路的综合设计应用《EDA技术》ElectronicDesignAutomation1.功能要求具有时、分、秒计数显示功能,以二十四小时循环计时;具有复位,使能,调节小时,分钟的功能;具有整点报时功能。二.项目要求《EDA技术》ElectronicDesignAutomation设计内容:根据电路特点,用层次设计概念。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。可以多

2、人分别编程和调试,然后再将各模块联机联试。以培养合作、团队作精神,同时加深层次化设计概念。混合设计,领会底层VHDL与顶层的原理图混合设计思想。《EDA技术》ElectronicDesignAutomation3.实施步骤1、根据系统设计要求,采用自顶向下设计方法,由秒计数模块、分计数模块、时计数模块、整点报时模块、动态扫描显示模块和7段译码模块六部分组成。画出系统的原理框图,并说明系统中各主要组成部分的功能。2、选用QuartusII工具,运用VHDL编写各个模块的VHDL源程序。3、根据选用的软件编译、仿真各底层模块文件。4、根据选用的软件及选用的硬件芯片编好用

3、于硬件验证的管脚锁定文件。5、记录系统仿真、硬件测试结果。6、记录实验过程中出现的问题及解决办法。《EDA技术》ElectronicDesignAutomation三.硬件要求主芯片AlteraEP1K30QC208-2;6位七段共阳极数码管动态扫描显示;三个按键开关(复位,调小时,调分钟);时钟利用开发板现成时钟源;利用开发板电源。《EDA技术》ElectronicDesignAutomation四.相关知识点1.静态和动态显示原理:所谓静态显示,就是显示某一字符时,相应的发光二极管恒定得导通或截止,每一显示位都需要一个8位的输出口控制,占用的硬件较多;动态就是一

4、位一位地轮流点亮各位显示器,对每一位显示器而言,每隔一段时间点亮一次,利用人的视觉留感达到显示的目的;《EDA技术》ElectronicDesignAutomation字型共阳极段码共阴极段码字型共阳极段码共阴极段码0C0H3FH990H6FH1F9H06HA88H77H2A4H5BHB83H7CH3B0H4FHCC6H39H499H66HDA1H5EH592H6DHE86H79H682H7DHF84H71H7F8H07H空白FFH00H880H7FHP8CH73H表5.1十六进制数及空白与P的显示段码《EDA技术》ElectronicDesignAutomatio

5、n2.电子钟设计原理:在同一FPGA芯片上集成如下电路模块:(1)时钟计数:秒……60进制BCD码计数。分……60进制BCD码计数。时……24进制BCD码计数。同时整个计数器有复位、调时、调分功能。(2)6位8段共阳极数码管动态扫描显示时、分、秒:按提供的8421BCD码,经译码电路后成为8段数码管的字形显示驱动信号a,b,c,d,e,f,g。扫描电路通过可调时钟输出片选驱动信号,片选地址为SEL[2..0]。由SEL[2..0]和LED[6..0](a,b,c,d,e,f,g)、DP决定了8位中的那一位显示和显示什么字形。SEL[2..0]变化的快慢取决于扫描频率

6、的快慢。《EDA技术》ElectronicDesignAutomation3.层次化设计、混合设计、元件例化VHDL层次设计就是将一个复杂的电路划分为若干模块,再把较大的模块划分为较小的模块,先编写VHDL设计文件分别描述每个较小模块,再编写VHDL设计文件描述较大的电路模块,最后编写顶层文件来描写整体电路。元件例化就是将事先设计好的实体定义为一个元件,然后用专门的语句定义一种连接关系,将此元件与当前设计实体中指定的端口相连接,从而为当前设计实体引入一个新的设计层次。元件例化是实现自上而下层次化设计的一种重要途径。元件例化语句由两部分组成,前一部分将事先设计好的实体

7、定义为一个元件,第二部分则是定义此元件与当前设计实体的连接关系。《EDA技术》ElectronicDesignAutomation元件例化语句格式:COMPONENT元件名GENERIC(类属表);PORT(端口名表);ENDCOMPONENT元件名;元件名PORTMAP([端口名=>]连接端口名,…);定义元件语句元件例化语句《EDA技术》ElectronicDesignAutomationPORTMAP是端口映射语句,用来说明例化元件与当前实体端口的连接关系。表示这种连接关系有两种方式,一种是名字关联方式,一种是位置关联方式,这两种方式也可以混合使用。在名字

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。