8位计数器EDA课程设计报告

8位计数器EDA课程设计报告

ID:47324214

大小:164.00 KB

页数:22页

时间:2020-01-10

8位计数器EDA课程设计报告_第页
预览图正在加载中,预计需要20秒,请耐心等待
资源描述:

《8位计数器EDA课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计说明书题目8个LED循环显示、计数器的设计起止日期2009.12.18-2010.1.4电子设计自动化设计任务书22系(部):电子与通信工程系专业:电气工程及其自动化指导教师:课题名称8个LED循环显示、计数器的设计设计内容及要求试设计一个8个LED循环显示,同时数码管也显示LED亮的次数。由于系统时钟为50MHz,直接当作时钟会由于计数速度太快而无法使发光二极管正常发光,因此首先需要对系统时钟进行分频,然后再以分频后的时钟作为计数器的计数时钟。要求设置3个按钮,一个供“开始”用,一个供“停止”用,一个供系统“复位”用;对8个LED循环显示的延

2、续时间进行调整;调整步长自定。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案,对设计方案进行必要

3、的修正,方案确定后开始进行VHDL语言程序设计第4天设计VHDL语言程序第5~9天在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示第10天编写设计说明书教研室意见年月日系(部)主管领导意见年月日22长沙学院课程设计鉴定表姓名学号专业电气工程及其自动化班级设计题目8个LED循环显示、计数器的设计指导教师瞿曌指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:     答辩小组长签名:     日期:    教研室意见:教研室主任签名:日期: 系(部)意见:系主任签名:        日期:     说明课程设计成绩分“优

4、秀”、“良好”、“及格”、“不及格”四类;目录221、课程设计目的………………………………………………………………52、课程设计题目………………………………………………………………53、设计内容……………………………………………………………………53.1设计思路………………………………………………………………53.2设计步骤………………………………………………………………54、程序中各引脚的分配………………………………………………………125、程序结构框图…………………………………………………………………136、完整程序…………………………………………

5、…………………………147、课程设计总结………………………………………………………………21参考文献………………………………………………………………………22一、课程设计目的系统提供一个50MHZ的22时钟,要求用VHDL语言设计一个8位计数器,同时有一个8位led循环显示灯,当灯亮一次,数码管上就记一次数。通过做此计数器,知道分频的概念,并且知道如何分频,同时知道什么是数码管的动态显示,怎么做出数码管的动态显示。一、课程设计题目8个LED循环显示、计数器的设计。二、设计内容1、设计思路:由于要设计一个8个led灯循环显示的计数器。则重要的设计部分在于

6、让led灯循环显示,同时在显示的同时数码管的数加1;要让led灯亮的同时,数码管上面的数字加1,则需要在同一个脉冲下完成计数器加一,led等循环亮一位。由于系统给定的时钟是50Mhz,显然数码管和led灯不能正常工作,这就涉及到要将50mhz频率分频,将频率变小,使计数器和led灯能正常工作;Led灯设计思路:led灯要实现循环显示,即第一个灯亮之后,在出现一个上升沿脉冲后,第二个灯亮,第一个灯灭,来一个脉冲移动一个,并实现循环,为了实现循环,设计思路是讲来一次脉冲将最高位补到最低位,次最高位变成最高位。数码管的设计思路:由于数码管要求是动态显示,显然

7、还要分出一个置位工作扫描频率,由于人的视觉暂留,频率应该大于24hz,为了数码管显示效果,我分出了1000hz的频率来扫描8位数码管的工作。分频的设计思路:分频是为了将50mhz的频率减小,即在50mhz(clk)出现n个上升沿之前另一个clk1不翻转,而在n个上升沿时翻转,在2n之前一直保持翻转后的状态,到2n时,计数器清零,同时再实现翻转,这样就能做出任意较小的频率实现设计。2、设计步骤:首先设计分频计数器,由于要有一个数码管置位工作扫描频率,还要有一个实现计数个和led灯循环显示的频率,即分频要分出两个不同的频率:分频程序入下:process(c

8、lk)variablecout:integer:=0;beginIFclk'eventandc

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。