数字电路与数字逻辑实验指导书

数字电路与数字逻辑实验指导书

ID:46242256

大小:620.46 KB

页数:27页

时间:2019-11-22

数字电路与数字逻辑实验指导书_第1页
数字电路与数字逻辑实验指导书_第2页
数字电路与数字逻辑实验指导书_第3页
数字电路与数字逻辑实验指导书_第4页
数字电路与数字逻辑实验指导书_第5页
资源描述:

《数字电路与数字逻辑实验指导书》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、数字电路与数字逻辑实验指导书目录实验一:QuartusII软件操作3实验二:数据选择器和译码器功能验证14实验三:数据选择器和译码器应用17实验四:触发器的应用19实验五:计数器的功能验证21实验六:计数器的应用22实验七:寄存器的功能验证23附录:24实验一:QuartusII软件操作实验目的和要求:1、了解并掌握QuartusII软件的使用方法。2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。3、了解并掌握EDAQuartusII中的原理图设计方法。实验内容:本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设

2、计步骤和仿真验证的使用以及最后的编程下载。图形编辑输入法也称为原理图输入设计法。用QuartusII的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只要掌握数字逻辑屯路基本知识,就能使用QuartusII提供的EDA平台设计数字电路或系统。QuartusII的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。实验步骤:在QuartusII中通过原理图的方法,使用与门和异或门实现半加器

3、。第1步:打开QuartusII软件。第2步:新建一个空项目。选择菜单File->NewProjectWizard,进入新建项目向导。如下图所示,填入项目的名称"hadder",默认项目保存路径在Quartus安装下,也可修改为其他地址,视具体情况而定。第3步:单击Next按钮,进入向导的下一页进行项目内文件的添加操作,女口果没有文件需要添加进项目,则直接点击Next按钮既可。第4步:选择CPLD/FPGA器件,如下图所示,选择芯片系列为“MAXIT,型号为“EPM240T100C5"NewProjectWizard:Family&DeviceSettings(p

4、age3of习Selectthefamilyanddeviceyouwanttotargetforcompilation.Package.Pincount:Speedgrade:Family:(^JmAXII三]Targetdevice「AutodeviceselectedbytheFitterGSpecificdeviceselectedin'Availabledevices'list✓Showadvanceddevices厂HardCopycompatibleonlyAvailabledevices:NameCorev...LEsUFMbl...EPM240M

5、100I5EPM240T100C3EPM240T100C43V3V3V333240240240EPM240T100C53.3V3V3V3V3V3V33333240570570570570IFinish取消EPM240T100I5EPM570F100C4EPM570F100C5EPM570F100I5EPM570F256C3-CompamondeviceHardCopyII:

6、三

7、R7LimitDSP&RAMtoHardCopyIIdeviceresources第5步:向导的后面几步不做更改,直接点击Next即可,最后点击Finish结束向导。到

8、此即完成了一个项目的新建工作。笫6步:新建一个图形文件。选择File->New命令,选择''Diagram/SchematicFileJ点击OK按钮完成。将该图形文件另存为hadder.bdfo图形编辑窗口如下图所示,窗口左边是图形编辑工具条。惜hadder.bdf除AD口ti寻OM£第7步:在图形编辑窗口的空白处双击,打开符号库窗口,如左下图所示。展开符号库“c://.../libraries/”,可以看到有三个类别,分别是"megafunctions——表示具有宏功能的符号,“others”一一主要是一些常用的集成电路符号,“primitives”主要是一些基

9、本门电路符号、引脚和接地、电源符号等。窗口屮的“name”框可快速检索到需要的符号,例如当输入型号“7408”,符号库立刻找到相应集成电路的符号,如右下图所示。的血'SymbolLt(&酸••••■•••••••••••••••••••••••••BBc/dlei^72/quartuv1t(y«/,■■■■■•■.一■■■*■«.■._.;yZ,Omeg=^nclwf^&&&麻)ormtwes丿••••■•••••••••••••••••••••••••••••■•••••••••••••••••••••••••ni►zNeme:)■••••••••••••・•

10、•■•••

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。