《VHDL高级设计技术》PPT课件

《VHDL高级设计技术》PPT课件

ID:45099005

大小:904.00 KB

页数:111页

时间:2019-11-09

《VHDL高级设计技术》PPT课件_第1页
《VHDL高级设计技术》PPT课件_第2页
《VHDL高级设计技术》PPT课件_第3页
《VHDL高级设计技术》PPT课件_第4页
《VHDL高级设计技术》PPT课件_第5页
资源描述:

《《VHDL高级设计技术》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL高级设计技术何宾2008.09第5章VHDL高级设计技术-本章概要本章首先介绍基于Xilinx芯片的HDL高级设计技术。在高级设计技术中主要对提高HDL性能的一些设计方法进行了比较详细的介绍,其中包括逻辑复制和复用技术、并行和流水技术、系统同步和异步单元、逻辑结构的设计方法和模块的划分原则。本章也对IP核技术进行了比较详细的说明和介绍,其中包括IP核分类、IP核优化、IP核生成和IP应用技术。这部分虽然在本书中的篇幅不是很多,但是内容非常重要,读者在学习该部分内容时要仔细的领会。第5章VHDL高级设

2、计技术-VHDL代码风格VHDL代码风格是指两个方面的内容:一方面是VHDL语言描述规范,即在使用VHDL语言描述逻辑行为时必须遵守VHDL语言的词法和句法规范,该描述风格不依赖于EDA软件工具和可编程逻辑器件PLD类型,仅仅是从VHDL语言出发的代码风格;另一方面则是VHDL语言对于一特定逻辑单元的描述,即用VHDL语言的哪一种描述风格进行逻辑行为描述,才能使电路描述得更准确,布局布线后产生的电路设计最优,该描述风格不仅需要关注EDA软件在语法细节上的差异,还要紧密依赖于固有的硬件结构。第5章VHDL高级

3、设计技术-VHDL代码风格从本质上讲,使用哪种描述风格描述电路的逻辑行为,主要取决于两个关键问题:1、速度和面积问题;2、功耗问题;第5章VHDL高级设计技术-VHDL代码风格首先,先说明速度和面积问题。这里的“面积”主要是指设计所占用的FPGA逻辑资源数目,即所消耗的触发器和查找表数目。“速度”是指在芯片上稳定运行时所能够达到的最高频率。面积和速度这两个指标始终贯穿着PLD的设计,是评价设计性能的最主要标准。第5章VHDL高级设计技术-VHDL代码风格面积和速度呈反比关系。如果要提高速度,就需要消耗更多的

4、资源,即需要更大的面积;如果减少了面积,就会使系统的处理速度降低。所以在设计中不可能同时实现既显著提高PLD工作频率,又显著减少所占用PLD的逻辑资源的数目。第5章VHDL高级设计技术-VHDL代码风格在实际设计时,需要在速度和面积之间进行权衡,使得设计达到面积和速度的最佳结合点。本章介绍通过采用逻辑复制和复用技术、并行和流水线技术、同步和异步电路处理技术、逻辑结构处理技术等方法,在速度和面积之间进行权衡,达到最佳的性能和资源要求。第5章VHDL高级设计技术-VHDL代码风格其次,说明功耗问题。随着PLD工

5、作频率的显著提高,功耗成为一个引起EDA设计人员密切关注的问题。由于PLD工作频率的提高,逻辑单元的切换频率也相应提高,相应的会引起PLD功耗增大。这样就存在着频率和功耗之间的矛盾,因此必须在逻辑单元的切换速度和功耗之间进行权衡,通过合理的设计,减少逻辑单元不必要的切换,这样可以在一定程度上降低功耗。第5章VHDL高级设计技术-扇出扇出是指某一器件的输出驱动与之相连的后续器件的能力。众所周知,一个器件的扇出数是有限制的。扇出数目越多,所要求的驱动能力越高。在PLD芯片内,如果一个逻辑单元的扇出数过多的话,会

6、降低其工作速度,并且会对布线造成困难。因此,在PLD逻辑资源允许的情况下,要尽量降低扇出数。第5章VHDL高级设计技术-逻辑复制逻辑复制是通过增加面积而改善设计时序的优化方法,经常用于调整信号的扇出。如果信号具有高的扇出,则要添加缓存器来增强驱动能力,但这会增大信号的时延。通过逻辑复制,使用多个相同的信号来分担驱动任务。这样,每路信号的扇出就会变低,就不需要额外的缓冲器来增强驱动,即可减少信号的路径延迟。第5章VHDL高级设计技术-逻辑复制通过逻辑单元的复制,减少扇出数,可以解决下面两个方面的问题:减少网络

7、延迟;多个器件分布在不同的区域,这样可以大大降低布线阻塞情况的发生。在使用增加器件减少扇出数目的时候,必须要注意的是,如果是异步单元的话,必须对该单元进行同步处理。第5章VHDL高级设计技术-逻辑复制DQfn1DQfn1DQfn1第5章VHDL高级设计技术-逻辑复用技术逻辑复用是指在完成相同的功能下,尽量减少所使用的逻辑单元的数目。这样在不影响设计性能的情况下,可以降低资源的使用。下面通过一个乘法器的例子来说明这个问题。第5章VHDL高级设计技术-逻辑复用技术BA1BXXA0SELA1BXA0SEL先乘后选

8、择的结构先选择后相乘的结构第5章VHDL高级设计技术-逻辑复用技术先选择后乘法的结构,在实现这样一个功能时需要使用两个乘法器和一个选择器,对该结构进行观察可以发现,在该设计中被乘数都是B,只有乘数是不一样的,那么可以在不影响该设计实现的功能的前提下,可以考虑将系统改为先选择后相乘的结构采用该结构,在实现相同功能的前提下,所使用的乘法器数目由2个减少为1个。从该设计可以看出,在进行设计时,如果对设计进行优化,很多的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。