简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】

简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】

ID:437810

大小:8.25 MB

页数:48页

时间:2017-08-02

上传者:U-944
简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】_第1页
简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】_第2页
简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】_第3页
简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】_第4页
简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】_第5页
资源描述:

《简易数字频率测量仪的设计 【毕业设计+开题报告+文献综述】》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

本科毕业设计文献综述电子信息工程简易数字频率测量仪的设计前言电子技术中,频率是基本的参数之一,并且与许多电参量的测量方案及结果都有设分密切的关系,因此频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常采用组合电路和时序电路等大量的硬件电路构成,产品的体积大,运行速度慢。因此频率测量方法的优化越来越受到重视。频率测量的方法有很多种,其中电子计数器测量频率具有精度高、使用方便,测量速度快,以及便于实现等优点,是频率测量的重要手段之一。另外,由于微型计算机的引用,测量的数字化,智能化逐渐成为当前测量技术的发展趋势。数字化处理技术输的测量仪器设备功能完美,但数字处理的实时性受到处理速度的限制,实时测量对电路的处理速度要求越来越高,目前,微处理芯片的发展迅速,出现了DSP,FPJA等不同领域的应用芯片。将这些芯片应用到频率计制作当中,使得频率计的测量精度及测量速度也得到了极大的提高。其次,为了实现智能化的电子计数测频,实现宽领域,高精度的频率计,一种有效的方法是运用单片机测量频率。单片机频率与以往的频率计有硬件电路少的优点,过去许多用硬件实现的功能可以通过单片机的软件程序来实现,因为软件可以降低频率计的成本,往往只需要增减极端到吗就可以实现不同的功能,同时也降低了硬件设计的难度,减少出错率,通过软件调试的方法还可以提高频率测量的精度。MCS-51系列单片机就具有体积小,功能强,性价比高等特点,因此被广泛应用于各种领域。本次设计就以89C51单片机为核心,来实现频率测量。1、设计目地及意义32 本设计主要研究如何用单片机来测量频率,并数字显示。因频率测量在电子技术中的重要性,这就要求频率计要不断的提高其测量精度和速度。在以科技日异月新的速度发展,经济全球化的社会中,简介、高效、经济成为人们办事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计时,都尽量用较少的硬件来实现,并且尽力把以前由精简来实现的功能通过软件解决。因为软件相较硬件具有易修改的优点,所以具有很大的灵活性。单片机就具有这样的优点,其独特功能和廉价的特性使得它呗广泛的应用。例如国内开发的充电器,电子定时器,汽车防盗器,卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种重要应用,价格低廉又具有实际意义。实现测量的数字化、自动化、智能化已经成为各种仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这以设计理念。本次设计涉及到了电路的设计、程序的编写,绘制电路图等多方面的知识。在做该设计的过程中我对频率及有了更为全面的认识,频率计不但有很多种设计方法,而且不同设计结构的频率计有不同的优点。通过这次的实践,巩固了自己的编程语言知识,提高了自己的编程能力以及动手操作能力,对以后的工作学习将有莫大的帮助。2、国内外研究状况主要介绍一下单片频率计ICM7216D。[/12/]它是由美国Intersil公司首先研发的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的5V稳压电源工作。内含高频振荡器、10进制计数器、7段译码器、位电路复用器、能够直接驱动LED显示器的8段段码驱动器、8为位码驱动器。其基本的测频范围为DC至10MHZ,若加预置的分频电路,则上线频率可达40MHZ或100MHZ。只要加上晶振、量程选择、LED显示器即可构成构成一个DC至40MHZ的微型频率计,可用于频率测量或机械转速测量。[/13/]PTS2600是英国研制的一款微波频率计,其测量频率可高达26GMZ。它能在四个波段有很好的灵敏度测量40HZ到20GHZ的频率。也可以用他来测量高达26GHZ的频率,只不过灵敏度稍微的一些。日常工作中,用他来测量VF/VHF/UFH频段的频率,也十分方便和准确。它使用一个12位数字的LCD液晶显示屏来显示所得的频率、闸口时间、菜单功能以及频率的测量结果。所有这些数值都是同时显示在一个屏幕上的。各模块相对独立,维修方便,主要通过更换模块进行。我国利用相检宽带测频技术设计的高精度频率计也非常具有突破性和实用性。该项新技术及仪器是针对已有测频技术的特点及存在问题,推出完全新颖的检测精度高。便于实施且设备构成又比较经济的一种技术及仪器。其测量精度高于一般仪器1000倍以上。而价格相当于外国仪器的1/8。该成果特点:应用最大公因子频率的概念,用相位检测的方法进行中、高频宽频率范围的高精度测量,精度高且设备简单,它可以替代多种专用测量仪器并开发出多种用途不同的频率及周期性信号的测量仪器。主题1、方法借鉴程源和祝洪峰在《基于FPGA的数字频率计的设计与制作》[/9/]32 中设计了一种以EDA工具为开发手段、运用VHDL语言编程的数字频率计,其设计图主要由分频器,测量频率控制电路、计数器、寄存器、译码器、扫描驱动等六个模块组成。正常工作时,系统时钟经分频得到1HZ标准方波信号作为频率测量控制电路的输入,1HZ信号经2分频后高电平持续时间为1S,用1S的时间能使计数器技术,将结果保存到锁存器并转换为7段数码管的相应代码,采用动态扫描方式在数码管上显示待测信号的频率。吴海明和王伟的《基于单片机与FPGA的等精度频率计设计》[/10/]根据精度测频原理,利用单片机与FPGA结合设计等精度数字频率计。待测频率信号经过整形放大后输入到FPGA,单片机控制FPGA通过内部脉冲计数器对待测信号和标准信号源同时计数,单片机读回测频数据后,经运算处理后显示。等精度频率计测频精度高,且精度不随频率的变化而变化。单片机与FPGA结合,测频速度快,范围宽,可靠性高。饶成明和马希直的《基于FPGA的多功能全同步数字频率计设计》[/11/]在分析比较现有测频方法优缺点的基础上,应用全同测量法,采用AT89C51单片机实现控制,并通过FPGA芯片,在MAX+PLUSⅡ中运用VHDL语言编程,设计出一个多功能全同步数字式频率计。该设计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求。消除了M法(直接测量法)、T法(周期测量法)和M/T法(等精度测量法)这三种方法和一个技术误差的问题。采用全同步测量法,使频率测量的精度和性能大为改善。2、方案比较:方案一:系统测频部分采用中小规模数字集成电路,用机械式功能转换开关换档,完成测频率、测周期及测脉宽等功能。该方案的特点是中小规模集成电路应用技术成熟,能可靠地完成频率计的基本功能,但由于系统功能要求较高,所以电路过于复杂。而且多量程换档开关使用不便。方案二:系统采用可编程控制器件(PLD,如ATV2500)作为信号处理及系统控制核心,完成包括计数、门控、显示等一系列工作。该方案利用了PLD的可编程和大规模集成的特点,使电路大为简化,但此题使用PLD不能充分发挥其特点及优势,并且测量精度不够高,导致系统性价比降低、系统功能扩展受到限制。方案三:系统采用单片机作为控制核心,门控信号由单片机内部的计数定时器产生。由于单片机的计数频率上限较低,所以需对高频预测信号进行硬件预分频处理,单片机则完成运算、控制及语音播报功能。由于使用了单片机,使整个系统具有极为灵活的可编程性,能方便地对系统进行功能扩展和改进。显然,上述三种方法中方案三是最好的,它所用到的硬件较少,用软件来实现其功能具有较大的灵活性,测频范围广,且得到的结果精度高。3、测量原理数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它可以测量正弦波、方波、三角波和尖脉冲信号的频率。32 按照频率的定义,即单位时间内周期信号的发生次数。对于低频信号,采用间接测频,直接测量周期。对于中频信号,信号直接进入单片机进行运算。单片机内部的定时器进行计时,计数器进行计数。若计时值为t,计数值为N,则被测频率为f=N/t;对于高频信号,经分频整形后进入单片机系统。设定时器计时为t1,计数器计数为N1,则被测频率为f1=MN1/t1。M为分频系数。开始初始化系统调用频率测量子函数液晶显示图1系统整体流程图4、数字频率仪的基本组成(1)放大整形电路由于被测信号一般是模拟信号,所以首先需将被测信号放大整形,使被测信号变换为频率与其相同的矩形脉冲信号,通过测量脉冲信号的频率就得到了被测信号的频率。电路图如图所示:32 图2放大整形电路(2)分频电路用晶振以及74LS160来进行分频,使之处于单片机能够处理的范围之内。(3)计数测频率当输入信号进入单片机后,计数器1对脉冲数进行计数,定时器0进行定时,当1S时,计数器的值就是频率值。(4)显示模块用1602液晶屏进行显示总结通过以上文献综述,不难发现:随着微电子技术和计算机技术的不断发展,在信号频率测量中对准确性的要求也越高,传统的以单片机为核心的测频系统,测频速度较慢,无法满足高速、高精度的测频要求,而通过VHDL编程的FPGA器件,在芯片内部可以实现高速、宽范围的测频,实现了数字系统硬件的软件化,是数字逻辑设计的新趋势。32 [参考文献][1]蔡明生.电子设计[M].北京:高等教育出版社,1997.[2]林明权.数字控制系统设计范例[M].北京:电子工业出版社,2003.[3]邹道生,李铭,杨汉祥.多功能数字的频率计的设计[J].赣南师范学院学报,2004(3):16-18.[4]胡汉才.单片机原理及接口技术[M].北京:清华大学出版社,1997.[5]杨守良.基于FPGA的数字频率计的设计和实现[J].陕西.现代电子技术,2005(11):118-120.[6]白驹荇.单片机极其应用[M].四川:电子科技大学出版社,1994.[7]王保强,窦文,白红.高精度测频方案设计[J].成都信息工程学院学报,2002(2):77-81.[8]李建科,曾文献,魏志军.智能高速频率计的设计[J].河北省科学院学报,2006(2):45-48.[9]程源,祝洪峰.基于FPGA的数字频率计的设计与制作[J].电子制作,2008(1):34-36.[10]吴海明,王伟.基于单片机与FPGA的等精度频率计的设计[J].兵工自动化,2009,28(3):79-80.[11]饶成明,马希.基于FPGA的多功能全同步数字频率计设计[J].现代电子技术,2010,33(2):101-103.[12]J.Tierney,C.M.RaderandB.Gold.ADigitalFrequencySynthesizer[J].IEEEETrans.AudioElectroacoust,1971,Vol.AU-19,p48[13]BobZeidman,VerilogDesigner’slibrary[M],Prentice,1998.[14]李广弟,朱月秀,冷祖祁.单片机基础(第3版)[M].北京:北京航天大学出版社,2007.[15]清源计算机工作室.Protel99SE原理图与PCB及仿真[M].北京:机械工业出版社出版,2007.[16]马忠梅,籍顺心,张凯,马岩.单片机的C语言应用程序设计(第4版)[M].北京:北京航天大学出版社,2007.32 毕业设计开题报告电子信息工程简易数字频率测量仪的设计一、研究目的和意义在信息技术高度发展的今天,电子系统数字化已成为有目共睹的趋势。从传统的应用中小规模芯片构成系统到广泛的应用单片机,直到今天FPGA在系统设计中的应用,电子技术已迈入一个全新的阶段。而在电子技术中,频率是最基本的参数之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,与许多电参量的测量方案、测量结果都有十分密切的关系,所以测频率方法的研究越来越受到重视。数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品是计算机、通讯设备、视频音频等科研生产领域不可缺少的测量仪器,并与许多电参量的测量方案、测量结果十分密切的关系,因此,频率的测量就显得更为重要。EDA技术是面向解决电子系统最基本最底层硬件实现问题的技术,通过设计输入编辑、仿真、适配、下载实现整个系统硬件软件的设计过程。学员可以很好的很方便的把刚刚学到的理论知识用计算机仿真真实的再现出来。并且可以用虚拟仪器技术创造出真正属于自己的仪表。极大的提高了学员的学习热情和积极性。真正做到了变被动为主动学习。二、国内外现状和发展趋势与研究的主攻方向近年随着系统向高速度、低功耗、低电压和多媒体网络化、移动化的发展、系统对电路的要求越来越高。传统的集成电路设计技术已经无法满足性能日益提高的系统要求。据统计,我国的频率计趋势不是落后发达国家太多的,并且这个领域的发展是极其迅速的,从我国现阶段电子产品的市场特点来看,电子数字化的发展很快,这与发达国家的发展情况是趋于一致的,数字频率计作为一种基础性测量仪器,已经应用于高科技等产品上面,我国的CD32 、VCD、DVD和数字音响广播等新技术已开始大量进入市场;而在今天这些行业中都必须用到频率计。到今天频率计已开始并正在向智能、精细的方向发展。国外的发展比我国要早,所以在这些行业中还领先与我们,我国还是缺少开发和研发的资金投入,很多的电子企业都不太乐意去花大量的时间、资金和精力去研究和开发,这也使得我国在这方面的人力和资金都不充足,也就无法与发达国家相比,不能够形成一个量产的效果。从而很多的企业没有竞争力,这也和我国其他的民族产业存在相同的情况,这也正是我国在高速发展后的今天很少有自己的民族品牌的原因,所以我国应该大力的支持自己的民族品牌,不仅仅是要在资金和人才的投入,还要有具体的实际行动并起到一定的保护作用。一、主要研究内容、需重点研究的关键问题解决及设计思路1.研究内容数字频率计用于对方波、正弦波或其他脉冲信号频率的测量,并将结果用十进制数字显示,本设计的应达到的技术指标有:(1)频率测量范围:1HZ—100MHZ;(2)测量误差:≤±0.01%;(3)控制单元采用51系列单片机或其他功能相当的单片机(4)测量结果通过LCD显示。2.制作步骤1、查阅大量资料,完成开题报告。这个过程中,在图书馆中查阅大量相关的资料,在网上也查阅频率计方面的发展趋势等资料,因此一段时间下来,对频率计的制作原理,工作方法有了较清晰的了解。2、确定方案。频率计的制作方法有很多种,通过综合各方面的因素选取以51单片机为核心来制作频率计。3、复习所要用到的硬件知识。例如放大整形,信号选择的开关电路等。4、硬件设计。重新学习protel的使用方法,设计电路板,争取做到美观大方。32 5、软件设计。采用汇编语言设计,系统软件采用模块化的结构设计方式,将各个功能分成独立模块,由系统的监控程序统一管理执行。对程序进行编译,生成HEX文件,烧到单片机中。6、PCB板制作。根据画好的原理图生成网络表,将其调入PCB中,进行布局,补线等操作,制作板子。四、参考文献[1]蔡明生.电子设计[M].北京:高等教育出版社,1997.[2]林明权.数字控制系统设计范例[M].北京:电子工业出版社,2003.[3]邹道生,李铭,杨汉祥.多功能数字的频率计的设计[J].赣南师范学院学报,2004(3):16-18.[4]胡汉才.单片机原理及接口技术[M].北京:清华大学出版社,1997.[5]杨守良.基于FPGA的数字频率计的设计和实现[J].陕西.现代电子技术,2005(11):118-120.[6]白驹荇.单片机极其应用[M].四川:电子科技大学出版社,1994.[7]王保强,窦文,白红.高精度测频方案设计[J].成都信息工程学院学报,2002(2):77-81.[8]李建科,曾文献,魏志军.智能高速频率计的设计[J].河北省科学院学报,2006(2):45-48.[9]程源,祝洪峰.基于FPGA的数字频率计的设计与制作[J].电子制作,2008(1):34-36.[10]吴海明,王伟.基于单片机与FPGA的等精度频率计的设计[J].兵工自动化,2009,28(3):79-80.[11]饶成明,马希.基于FPGA的多功能全同步数字频率计设计[J].现代电子技术,2010,33(2):101-103.[12]J.Tierney,C.M.RaderandB.Gold.ADigitalFrequencySynthesizer[J].IEEEETrans.AudioElectroacoust,1971,Vol.AU-19,p48[13]BobZeidman,VerilogDesigner’slibrary[M],Prentice,1998.32 [1]李广弟,朱月秀,冷祖祁.单片机基础(第3版)[M].北京:北京航天大学出版社,2007.[2]清源计算机工作室.Protel99SE原理图与PCB及仿真[M].北京:机械工业出版社出版,2007.[3]马忠梅,籍顺心,张凯,马岩.单片机的C语言应用程序设计(第4版)[M].北京:北京航天大学出版社,2007.32 本科毕业设计简易数字频率测量仪的设计摘要随着科技的发展,数字电子技术的应用越来越广,其相关的测量技术也显得愈发的重要。在当今的各类仪器中,频率的测量成为其重要的一部分。在本文中,主要对基于单片机的频率计的测量进行了研究。32 本文所设计的频率测试仪以51单片机中的AT89C52为核心,构建成一个能测量频率的简单仪器。测量仪器的构成主要分为分频整形、单片机计数、LCD液晶显示三个模块,以基于单片机的简单C语言来进行软件设计,该仪器能够对在1HZ—100MHZ之间的频率进行测量。正文中介绍了系统设计的具体思路,简单的描述了硬件的工作原理,并附以硬件系统设计框图,其后给出了仿真结果及误差分析,最后对本次设计做出了简单的总结并附上仿真电路原理图及PCB图和元件清单。通过对本文设计的实验电路和程序的测试运行,得到了所需要的实验结果,从中可以知道在低频段,由于频率较低,因此用测频法时在标准闸门时间内很难测得一个脉冲,因此要用周期法来测试,同样在高频段时,如果被测信号超过单片机的识别能力时,要先分频,再送入单片机进行计数。在最终得到的结果中含有设计理论中存在的不可避免的误差,若想减小误差,则可以通过多次测量取其平均值来达到。以STC89C52为核心制作的频率测量仪,其硬件电路简单可靠,软件测试灵活、快速,具有体积小,快速、准确的特点。关键词:51单片机;C语言编程;LCD液晶显示;频率计32 ABSTRACTAlongwiththetechnicaldevelopment,thedigitelectronictechnology'sapplicationisgettingmoreandmorebroad,itsrelatedmeasuringtechniquealsoappearsimportantincreasingly.Innoweachkindofinstrument,afrequencysurveyisbecominganimportantpart.Inthispaper,mainlyconductedtheresearchbasedonmonolithicintegratedcircuit'sfrequencymeter'ssurvey.Thedesignsinthisarticlereflectoscopereflector'stake51monolithicintegratedcircuitsat89C52asthecore,constructsonesimpleinstrumenttobeabletosurveythefrequency.Themeasuringequipment'sconstitutionmainlydividesintothefrequencydivisionreshaping,themonolithicintegratedcircuitcounting,theLCDliquidcrystaldisplay.Bycarriesonthesoftwaredesignbasedonmonolithicintegratedcircuit'ssimpleClanguage,thisinstrumentcancarryonthesurveyoffrequencybetween1HZ-100MHZ.Inthemaintext,itintroducedtheconcretementalofsystem'sdesign,thesimpledescriptionabouthowhardwareworks,andattachedbythehardwaresystemdesigndiagram,afterthathasgiventhesimulationresultandtheerroranalysis,finallyhasmadethesimplesummaryandtheenclosedartificialcircuitschematicdiagramandthePCBchartandthepartdetailedlisttothisdesign.Throughrunningelectriccircuitandtheproceduretesttothisdesign,itobtainedtheexperimentalresultwhichneeds,weknowthatinthelowfrequencyband,withfrequencymeasurementlawtimeisveryunpredictableinthestandardstrobetimeapulse,becausethefrequencyislow,thereforeweusethecyclicallawtotest,similarlywhenitcomestohighfrequencyband,whenthesignalsurpassesmonolithicintegratedcircuit'srecognitioncapability,wemustdividethefrequency,thensendintothemonolithicintegratedcircuittocarryonthecounting.Finallyintheresultitincludestheinevitableerrorwhichinthedesigntheoryexists,ifwantstoreducetheerror,thenmaytakeitsmeanvaluethroughthemultiplemeteringtoachieve.TakeAT89C52asthecoremanufactureforfrequencymeasuringinstrument,itshardwarecircuitissimplereliable,thesoftwaretestingisflexible,fast,hasthevolumetobesmall,fast,accuratecharacteristic.Keyword:51monolithicintegratedcircuits;Clanguageprogramming;LCDliquidcrystaldisplay;Frequencymeter.32 目录引言1第1章测量仪的总体方案21.1课题设计的任务21.2功能要求说明21.3测试仪常用的检测方法21.4方案比较及选用依据31.5信号频率测量的原理31.6信号周期测量原理4第2章硬件系统设计52.1MCS-51单片机52.2时钟电路的设计72.3复位电路的设计72.4LCD液晶显示电路的设计82.5放大整形分频电路的介绍112.6电源部分介绍12第3章软件系统的设计133.1单片机资源的使用情况133.2设计课题软件系统各模块功能简要介绍133.3程序流程图14第4章系统的仿真和调试184.1PROTEUS的介绍与仿真184.2Protel99SE的介绍与PCB电路板的设计19第5章误差分析2032 总结21致谢22参考文献23附录1源程序24附录2设计PCB图32附录3设计原理图3332 引言本文设计的实现是以单片机最小系统为基础来实现的。在现实生活中,随着科学技术的大力发展,制作工艺的不断提高,单片机的种类越来越多,功能也与日俱增,其应用范围也是愈发的广泛。由于其具有简单易学、稳定性好。成本低的特点,因此在工业控制、家用电器、汽车、航天等领域有着重要的作用。像电视机、电冰箱、空调、手机等等都可见它的身影,可见它已经俨然成为了我们日常生活中不可或缺的一部分。本次的设计就是以最基础的51单片机来实现一个简易的频率计数器,其检测范围可达到100MHZ。频率计的应用范围很广,它不仅在日常生活中作为简单的频率计使用,而且在教学,科研,高精度仪器的测量以及工业生产中都有着广泛的应用。市场上的频率计是很多的,但其基本上是由数字逻辑电路制作生成,,因这些逻辑器件的工作频率不高(仅有十几兆到二十几兆)从而限制了这类频率计的工作频率,这远远不能满足频率测量要求很的场合,而且测量的精度也是受限于数字芯片本身特性,不会很高。而单片机则很好的弥补了这些数字芯片造成的问题。自单片机引入我国之后,它已广泛的应用于各类电子设计之中,使频率计的智能化水平在广度上和深度上都达到了质的飞跃。使用单片机不仅能使复杂的数字逻辑电路得到大大的简化,而且辅以相应的软件,使用适当的算法代替复杂的传统硬件电路,能做到灵活性高,精度高,从而克服了一般频率计的结构复杂,稳定性差,精度不高的弊端。而且使用算法来可以灵活的根据信号本身来决定使用何种方法来计数:当信号是高频信号时,则可直接采用测频的方法来实现,在低频段则可采用测周期的方法来实现,这是数字逻辑电路没法实现的,而用51单片机则能很简单的完成这项功能,而且单片机具有很强数字逻辑控制功能,和数据处理能力,可以直接实现数据的数显功能。这些优点使得单片机的应用越来越得到各界的喜爱和重视,本次设计就是以单片机为根本,在此基础上扩展其功能,来设计一个简单使用的频率测试仪器。32 第1章测量仪的总体方案1.1课题设计的任务设计一个能够测量方波、正弦波、脉冲波的简易频率测量仪。该频率计上电复位后直接显示FRE。对频率较高的输入信号先进行高频分频,在送入单片机进行计数。对于低频段的输入信号则直接送入单片机进行计数。计数阶段用到了定时器0,计数器1,外部中断0,外部中断1。显示部分要用12864液晶屏显示测量结果。1.2功能要求说明该数字频率测量仪以AT89C52单片机最小系统为基础,采用按键来进行频率测量的操作。当按下功能键K1时,进行频率计数功能,当按下功能键2时,测量结果显示0。1.3测试仪常用的检测方法目前,市场上所用的频率测量仪的检测方法主要有以下几种:1.直接测量法这种方法是设定一个闸门时间Tx,在闸门时间内测量得到待测信号的脉冲个数Mx,则待测信号的频率为:Fx=Mx∕Tx.此法中可以做到闸门时间的准确及时,但其精度主要取决于计数的准确值。当被测信号的频率较高时误差会相对较小,当被测信号的频率较小时,误差则会很大。此法的特点是方法简单,但测量精度和待测信号的频率和闸门控制时间有关。2.周期测量法周期测量法是通过测量被测信号一个周期时间内计时脉冲信号的个数来获得该信号的周期Tc,再经换算来获得被测信号的频率:Fx=1∕Tc。该方法是在克服测频法在测量低频段的频率时所具有的缺陷所创造出来的。此法的特点是在低频检测时精度高,当检测高频信号时误差较大。3.脉冲数倍频测法这种方法也是在直接测量法测量低频信号时精度低的缺陷上发展起来的,具体方法是通过将信号的频率放大N倍,以提高测量的精度。4.脉冲数分频测频法由于用周期法测量信号的频率时信号周期不能太短,为了克服这个缺陷,提高测量的精度,发明了这种方法,具体方法为将待测信号A分频,使其信号的周期扩大A倍。它的特点是在高频信号时其精度比周期测量法高A倍,当也有电路复杂的缺陷。5.脉冲平均周期测频法这种方法是在闸门时间内用两个计数器分别计算待测信号和标准脉冲的脉冲数。此种方法在高频段测量时拥有较高的精度,在低频时段则有较大的误差,所以通常不会用这类方法。6.多周期同步测频法这是由一个门控时间可一个闸门时间共同控制计数器的一种测频方法。这种方法的优点是闸门时间与被测信号同步,消除了对被测信号的±32 1个的计数误差,测量精度大大的得到了提高,并且在整个测量过场中都是等精度测量。7.单周期测量法这个方法是在单片机外部引脚检测信号的下降沿,通过测得两个下降沿间的时间来获得该信号的周期,从而得到该信号的频率。同样此法只适用于测量低频信号,在高频段测量的话将会产生极大的误差。1.4方案比较及选用依据显然上面的几种方法各有各的缺陷因此最好的方法是将他们结合起来使用,以提高测量精度。因要实现频率1HZ~~100MHZ的测量,可知该频段包含高频段及低频段部分,显然在低频段使用直接测量法是不现实的:若将误差缩小到0.1%,那将要主门连续开启1000秒。因此对于低频段的信号我们采用测其周期的方法,然后通过换算来获得该被测信号的频率,从而提高测量精度。1.5信号频率测量的原理按照频率的定义:频率即单位时间内周期信号的发生次数。因此在该设计中单片机晶体振荡器将提供标准的震荡信号。对所有的信号,都将先经过放大整形,然后送入单片机进行计数,运算。对于高频信号,单片机的内部定时器0将进行闸门时间的定时设置,计时器1将进行信号的计数。假设计时值为t,计数值为N,分频系数为M,则该信号的频率为f=MN∕t。直接频率测量图如下:图1.1频率测量原理图32 1.6信号周期测量原理信号的频率测量和周期测量方法上基本上是相同的,唯一不同的就是用到了外部中断。本设计中,用定时器0来进行标准的计时,晶体振荡器提供标准1us的时间,开启外部中断,当检测到输入信号有下降沿时,开始计时,当检测到第二个下降沿时,停止计时。然后算出计时器0中的定时时间,即是该信号的周期,再取其倒数,得到该信号的频率值。周期测量图如下:图1.2周期测量原理图32 第2章硬件系统设计系统设计的总体框图如下:单片机复位电路时钟电路分频整形电路稳压电源电路液晶显示电路图2.1系统总体框图在此设计中,单片机作为整个设计的核心,主要起着控制整个操作流程的作用。单片机的复位电路为单片机提供复位信号,采用手动上电复位的方式。时钟电路为单片机提供一个固定的震荡脉冲信号,时间为1us。分频整形电路的设计是因为当信号在高频率时,它已经超过了单片机的承受计数能力,因此必须对单片机进行分频,以使频率降到单片机能识别的频率范围内。要使单片机工作,必须有电源,稳压电源电路就为单片机提供了一个稳定的5V稳压源。由于液晶具有方便的显示能力,因此代替数码管成为设计是的首选。下面详细的介绍一下各个模块的器件工作原理。2.1MCS-51单片机单片机是在一块芯片上集成了CPU、存贮器、输入输出部件,时钟电路及各种应用系统所需的部件,如A∕D转换器、D∕A转换器等。它的体积很小、使用方便,操作简单、成本小、易于生产、抗信号干扰能力强、可以在多种恶劣的环境下稳定的的工作等特点。尤其是它的强大的面向控制能力,使它在外部设备控制、机器人操控、工业生产控制、智能仪表生产、军事装备等方面得到了广泛的应用。MCS-51系列单片机产品有8051、8031、8751、80C51、80C31等型号。MCS-51含有丰富的硬件资源,提供灵活、高效、多方面的控制应用。内部集成有一个8位的CPU控制器,一片内振荡器发生器和一个时钟振荡电路,4KB的ROM程序存储空间、128B的RAM数据存储空间、两个16位定时/计数器、可寻址64KB的外部数据存储器和64KB的外部程序存储器空间的控制电路、5个中断源(有优先级)、两个优先级嵌套中断结构、32条可编程I/O线、1个可编程全双工串行接口。32 图2.2单片机原件图1中央处理器(CPU)CPU是整个单片机的核心控制部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制和指挥以及调度整个系统,使其协调的工作,完成运算、控制输入以及输出等功能操作。2数据存储器(RAM)它的内部具有128个8位用户数据存储单元、128个专用寄存器单元,它们是统一编址的,它的专用寄存器只能用于存放控制指令数据,只允许用户访问,而不允许用户用来存放数据,所以用户能使用的RAM其实只有128个,可用于存放读写的数据,运算的中间结果或者用户定义的字型表。3程序存储器(ROM):它一共拥有4096个8位只读存储器,用来存放用户的程序,原始数据或者表格。4定时/计数器(ROM):它拥有两个16位的可编程定时/计数器,以实现定时或计数产生中断时用于控制程序转向。5并行输入输出(I/O)口:它总共有4组8位I/O口(P0、P1、P2、P3),用于外部数据传输。6全双工串行口:内置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可以用作异步通信收发器,也可以当同步移位器使用。7中断系统:它具有完善的中断功能,共有5个中断源:两个外部中断、两个定时/计数器中断和一个串口中断,可满足不同的控制要求,并具有2个级别的优先级选择。8MCS-51共有4个I/O口,在本测试系统中分配如下:1).P1.1~P1.4和P0.2连接LCD的控制端。其中P1.0接RS,1.1接RW,P1.2接E,P1.3接CS2,P1.4接CS1,P0.2接RST。在使用P0口时因单片机内部并没有内置上拉电阻,所以要在使用端口要接一个上拉电阻。32 2).P2口接排针作为数口,用于测试时的数据传送。3).P3.3和P3.5作为信号的输入端口。4).RXD和TXD用于连接按键控制按钮,XTAL1和XTAL2连接外部时钟震荡电路以获得1us时钟脉冲。2.2时钟电路的设计单片机是一个复杂的时序电路系统,为了确保同步工作方式的实现,单片机必需有时钟信号,以使其系统在时钟信号的控制下按时序协调工作。51单片机的时钟脉冲的产生有两种形式:内部电路振荡方式和外部时钟电路输入方式。本设计采用的是内部电路震荡方式。其电路如下图所示。在引脚XTAL1和XTAL2外接一个晶振,就构成了内部振荡方式。由于单片机内部有一个高增益反相放大器,当外接晶振后,就构成了自激振荡器并产生振荡时钟脉冲。图中,电容C1、C2起着稳定振荡频率、快速起振的作用,其电容值为22pF。晶振频率值为12MHz。内部振荡方式所得的时钟信号比较稳定,实用电路中使用较多。图2.3时钟电路图2.3复位电路的设计该电路用于产生复位信号,通过RST引脚送入单片机,进行复位操作。而单片机复位电路设计的好坏将直接影响到单片机系统工作的可靠性。单片机系统的基本复位方式有:上电复位和手动按钮复位两种。在本次设计中采用手动按钮复位,电路图如下图所示。当不按按键时,电容处于充电状态,当按下按键时,电容开始放电,与200欧姆的电阻组成一个RC回路,整个过程产生一个高电平脉冲,这个脉冲远大于两个机器周期,因此,人的动作再快也会使按键保持接通达数十毫秒,完全能够满足复位的时间要求。R2是为了保证按键按下后RST端为高电平。32 图2.4复位电路图2.4LCD液晶显示电路的设计液晶模块相对于数码管来说具有灵巧方便的优点,是很多电子设备不可缺少的器件,是实现人机对话的重要平台。常用的液晶显示器有LCD1602和LCD12864。1602液晶体积小、功耗低,使用方便,但只能显示数字和字母。12864液晶则具有强大的功能,能同时显示多图和文字,可与CPU直接接口,具有8位标准数据总线、6条控制线。本设计使用的就是该中液晶。1最大工作范围1、逻辑工作电压(Vcc):4.5~5.5V2、电源地(GND):0V3、LCD驱动电压(Vee):0~-10V4、输入电压:Vee~Vdd5、工作温度(Ta):0~55℃(常温)/-20~70℃(宽温)6、保存温度(Tstg):-10~65℃2电器特性1、输入高电平(Vih):3.5Vmin2、输入低电平(Vil):0.55Vmax3、输出高电平(Voh):3.75Vmin4、输出低电平(Vol):1.0Vmax5、工作电流:2.0mAmax32 312864-3B接口说明表表2.1接口说明管脚号管脚电平说明1CSAH/L片选择信号,低电平时选择前64列。2CSBH片选择信号,低电平时选择后64列。3GND0V逻辑电源地。4VCC5V逻辑电源。5V0-10VLCD的驱动电源。6D/IH/L数据指令选择,高电平:数据D0-D7将送入显示RAM;低电平:数据D0-D7将送入指令寄存器执行。7R/WH/L读写选择,高电平:读数据;低电平:写数据。8EH.H/L读写使能,高电平有效,下降沿锁定数据。9DB0H/L数据输入和数据输出引脚。10DB1H/L数据输入和数据输出引脚。11DB2H/L数据输入和数据输出引脚。12DB3H/L数据输入和数据输出引脚。13DB4H/L数据输入和数据输出引脚。14DB5H/L数据输入和数据输出引脚。15DB6H/L数据输入和数据输出引脚。16DB7H/L数据输入和数据输出引脚。17RETL复位信号,低电平时有效。18VEE-10VLCD的驱动电源。19BLAC背光电源,LED+。20BLAC背光电源,LED-。4写操作时序图图2.5写操作时序图32 5读操作时序图图2.6读操作时序图6液晶电路的设计图2.7液晶电路设计图32 2.5放大整形分频电路的介绍因为在本设计中要测量高达100MHZ频率信号,而74161分频器件的截止频率只有1M左右,因此在本系统中用到了MB506分频器,具体图如下所示:图2.8MB506原件图它具有64、128、256三种分频比,改变3、6引脚的接法可以得到不同的分频比。具体分频方法有数据手册可得图2.9分频表该系统中,分频系数设为256。输入阻抗R1设为10K,同时为了在信号引入时不损坏信号源,把被测信号通过C1、C2以交流形式从J1引进,经整形后由4输出由于MB506自带放大整形电路,故应用电路较简单其电路设计图如下图2.10整形分频电路图32 2.6电源部分介绍本系统才用的时5V的电压,因此要获得该电压需要进行电压转换。该设计中用三端稳压管构成的稳压电源。交流电经变压器降压、整流、滤波、稳压之后就得到系统所需的电源。具体电路如下所示:图2.11稳压电源模块电路图32 第3章软件系统的设计在该设计中,以MCS-51单片机的AT89C52为核心,控制整个过程。当单片机上电复位后,液晶显示器开始初始化,然后进入开机界面。再由按键选择进入测试模式。本设计的具体思路为:把输入信号默认为高频信号,用测频法进行测量。先进行高频分频,再送入单片机进行计数。计数部分,用单片机的定时器0设置一个定时闸门时间为10ms,单片机的计数器1作为输入信号的计数器,若在该闸门时间内频率达不到相应的要求,则用测周期法进行测量,这时要用到外部中断1。测出该波形的周期在对其取倒数得到相应的频率,最后将频率值在液晶上显示出来。具体操作为:按下功能键S1时,开始计数功能;按下功能键S2时显示0。系统工作原理如下:本次设计的简易数字频率计是采用51单片机的P3^3(INT1)、P3^5(T1)和P3^2(INT0)、P3^4(T0)作为被测波形信号输入端,单片机的晶振采用12MHZ。当系统上电时,运行单片机的初始程序,在液晶上显示FRE,然后等待按下相应的按键,执行相应的功能。当按下S1按键时,输入信号经分频整形送入单片机的P3^5(T1)端口,同时启动定时器0和计数器1,定时器0的闸门定时时间为10ms,当10ms的定时时间一到,计数器立即停止计数,因为采用的是12MHZ的晶振,所以计数器的计数值即该信号的频率值,若得到的频率值大于或等于10,则进行相应的换算得到标准时间内的频率值;若达不到,则将输入信号分频整形后送入单片机的P3^3(INT1)和P3^5(T1)端口,开启外部中断,此时通过被测信号来启停定时器0,测得该信号的周期,并将其取倒数得到该信号的频率。当按下按键S2时液晶显示0。3.1单片机资源的使用情况定时/计数器的使用:在高频段经分频后使用测频法时使用到了定时器0和计数器1,以及定时器0中断和计数器1中断。使用测周期法时用到了定时器1和外部中断1。单片机I/O口的使用:P3.0口和P3.1口作为按键的输入端使用,P2口作为液晶的数据输入端使用,P3.3口和P3.5口作为被测信号的输入端使用,P0口作为液晶的各种控制端口使用。3.2设计课题软件系统各模块功能简要介绍1主程序模块:在任何C语言的程序中,在程序执行时都要先进入main主函数进行运行,因此主函数越简单,程序的条例就会越清晰,而函数的调用则能完美的实现这一情况,因此在此设计中主函数将调用一个init()初始化函数,并一直执行keyscan()函数。2初始化函数:在该函数中将定义并初始化一些变量,同样将对液晶进行初始化,包括数显位置,光标设置等。3中断函数:本设计中使用到了定时器0中断,计数器1中断,外部中断1。在中断函数中,要对计时和计数进行初始化,并设一些辅助功能。32 1延时函数:延时函数中将使用参数的调用,将视情况对函数进行适当的延时。2频率函数:这是整个函数的核心部分函数,在该函数中将针对不同频率段的函数进行识别,并将调整对应的频率测试方法,来达到尽量减小测量误差的目的。3显示函数:在函数中将有两个显示函数,display1()函数是整个函数进行初始化时在液晶上显示人机交互界面,并提示等待。Display2()函数则是频率测试结果的显示函数,在函数中调用按键程序,以显示最终的测量结果。4按键函数:在此函数中,将不断的检测相应的按键是否按下,若按下则进入频率函数执行频率测量功能。并将测量结果进行位提取,附在数组中,传递给显示函数。3.3程序流程图1系统主程序流程图:3.1系统主程序流图32 具体的程序如下:voidmain(){init();display1();while(1){keyscan();}}2测频子程序流程图:3.2测频子程序流程图32 具体程序如下:voidpinlv(){m=0;TMOD=0x51;TH0=(65536-10000)/256;TL0=(65536-10000)%256;TH1=0;TL1=0;EA=1;EX0=0;EX1=0;ET0=1;ET1=1;TR0=1;TR1=1;while(num!=1);TR0=0;TR1=0;num=0;l=m*65536+TH1*256+TL1;if(l>=10){l=l*100*200;}else{l=0;TMOD=0x90;EA=1;EX0=0;ET1=1;IT1=1;TH1=0;TL1=0;m=0;while(P3_3==1);while(P3_3==0);TR1=1;while(P3_3==1);while(P3_3==0);32 TR1=0;EX1=0;l=m*65536+TH1*256+TL1;l=1000000/l;l=l*200/2;if(l<=200){flag=1;l=0;TMOD=0x09;EA=1;EX0=1;EX1=0;ET0=1;//定时/计数器T0中断允许位IT0=1;//外部中断0触发方式控制位TH0=0;TL0=0;n=0;while(P3_2==1);while(P3_2==0);TR0=1;while(P3_2==1);while(P3_2==0);TR0=0;EX0=0;flag=0;l=n*65536+TH0*256+TL0;l=1000000/l;l=l/2;}}}32 第4章系统的仿真和调试4.1PROTEUS的介绍与仿真Proteus是一款专业的用于电路仿真的EDA软件,以下是对脉冲信号的仿真:因元件库中无MB506器件,因此仿真时采用74161分频器件。分频系数为400分频。液晶显示采用1602液晶。输入信号的频率为1000HZ。图4.1输入频率按下K1键后,显示结果如下:图4.2显示结果输入频率为300K时图4.3输入频率按下K1键后,输出结果如下图4.4显示结果输入频率为1M时图4.5输入频率32 按下K1后,显示结果如下图4.6显示结果4.2Protel99SE的介绍与PCB电路板的设计Protel99SE是一款应用于Windows9X/2000/NT等操作系统下的专业的EDA设计软件,采用设计库管理模式,可以做到联网设计,拥有很强大的数据交换能力和开放性以及3D模拟功能,可以完成电路原理图的设计,印制电路板的设计和可编程逻辑器件的设计等工作。按照系统功能来划分,Protel99se主要包含以下两大部分和6个功能模块。(1)电路原理设计部分(AdvancedSchematic99):电路原理图设计部分包括电路图编辑器(简称SCH编辑器)、电路图零件库编辑器(简称Schlib编辑器)和各种文本编辑器。本系统的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表。(2)印刷电路板设计系统(AdvancedPCB99):印刷电路板设计系统包括印刷电路板编辑器(简称PCB编辑器)、零件封装编辑器(简称PCBLib编辑器)和电路板组件管理器。本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零件封装;管理电路板组件。(3)自动布线系统(AdvancedRoute99):本系统包含一个基于形状(Shape-based)的无栅格自动布线器,用于印刷电路板的自动布线,以实现PCB设计的自动化。本设计中PCB的设计图如下所示:图4.7PCB板32 第5章误差分析数字频率计在测量频率时,其被测频率有闸门时间和这段时间内的计数值所决定。所以频率计的误差都与这些计数变量值有关。有不同的根据,可对误差分为以下几种:相对误差:即测量频率时的相对误差。假设被测频率为Fx,闸门时间为T,计数值为N,实际闸门时间为诶Tc,计数值为Nc,则相对误差为dFx∕Fx=(dN∕N)—(dTc∕Tc)量化误差:即计数的相对误差。公式可表示为dN∕N,这是数字仪表所特有的误差。闸门开启时间的相对误差:这是由石英振荡器所提供的标准频率的准确度所决定的。公式可表示为dTc∕Tc。闸门开启频率的相对误差:该误差可由公式表示为dFc/Fc。下面来具体分析一下各种误差:1.量化误差:用测频法测量频率时,闸门的开启时刻和计数脉冲之间的关系不是相关的,他们在时间轴上的相对位置是随机的,因此在相同的这门时间内计数器所得到的计数值不一定是相同的,这就产生了量化误差。当闸门时间接近于信号的周期时,量化误差达到最大。它的最大的特点就是无论计数值为多少,它的最大误差总是为±1个量化单位。当Fx一定时,增大闸门时间Tc,可减少量化误差对频率测量误差的影响。若Tc一定时,Fx越低,量化误差就会越大。因此在实际测量中对小于1000HZ的频率不采用测频法,而采用测周期法以提高测量精度。2.标准频率误差:闸门时间准不准,主要决定于石英振荡器提供的标准频率的准确度,若石英振荡器的频率为Fo,分频系数为K,则Tc=KTc=K/Fo;dTc=-dFo/Fo;dTc/Tc=-dFo/Fo。可见闸门时间的准确度在数值上等于标准频率的准确度。因此可知数字频率计直接测频的误差主要有两项,即量化误差和标准误差。总误差则可采用分项误差绝对值线性相加来表示。总结以上可知,用测频法测量频率时,当Fx不变时,闸门时间Tc选的越长,测量精度就越高。而当Tc选定时,Fx越高,±1的量化误差对测量结果的影响越小,测量精度也就越高。所以在低频段就往往采用周期法测量频率。32 总结通过几个月的努力,在老师的悉心指导下,在同学的热心帮助下,在自己的不断努力下,终于完成了简易数字频率测量仪的设计与实现。在本课题研究中,以MCS-51单片机为核心构建了一个简单易行的频率测量仪,该测量仪能检测方波、正弦波、脉冲信号波等多种波形,并实现了即时显示的功能,且测量范围较广,能测量1HZ-1MHZ之间的频率,比市场上卖的一般的频率计要灵巧,性能要稳定,圆满的完成了预期的目标。通过这次的设计,是我对单片机有了更深刻的了解,这不再仅仅只是停留在理论上,而是在实际应用上,不仅深刻理解了它的工作原理,且能更深入的理解了它是如何的与数字电路相结合,并作为控制器件,控制整个工作过程,对各个接口的使用方法也更加的灵活,算是真正的对单片机入了门。在此设计中,收获更大的是能对C语言的灵活应用,掌握了相对复杂的系统的编写,以及如何使用编程语言控制硬件的方法和技巧。对绘图软件的使用也是更加的得心应手,在使用Protel99se的同时又学会了使用DXP绘图软件。在获得收获的同时,也暴露了一些自身的缺陷,如在进行PCB绘图时,对直接在PCB上进行封装的修改,做的不够灵活。32 [参考文献][1]蔡明生.电子设计[M].北京:高等教育出版社,1997.[2]林明权.数字控制系统设计范例[M].北京:电子工业出版社,2003.[3]邹道生,李铭,杨汉祥.多功能数字的频率计的设计[J].赣南师范学院学报,2004(3):16-18.[4]胡汉才.单片机原理及接口技术[M].北京:清华大学出版社,1997.[5]杨守良.基于FPGA的数字频率计的设计和实现[J].陕西.现代电子技术,2005(11):118-120.[6]白驹荇.单片机极其应用[M].四川:电子科技大学出版社,1994.[7]王保强,窦文,白红.高精度测频方案设计[J].成都信息工程学院学报,2002(2):77-81.[8]李建科,曾文献,魏志军.智能高速频率计的设计[J].河北省科学院学报,2006(2):45-48.[9]程源,祝洪峰.基于FPGA的数字频率计的设计与制作[J].电子制作,2008(1):34-36.[10]吴海明,王伟.基于单片机与FPGA的等精度频率计的设计[J].兵工自动化,2009,28(3):79-80.[11]饶成明,马希.基于FPGA的多功能全同步数字频率计设计[J].现代电子技术,2010,33(2):101-103.[12]J.Tierney,C.M.RaderandB.Gold.ADigitalFrequencySynthesizer[J].IEEEETrans.AudioElectroacoust,1971,Vol.AU-19,p48[13]BobZeidman,VerilogDesigner’slibrary[M],Prentice,1998.[14]李广弟,朱月秀,冷祖祁.单片机基础(第3版)[M].北京:北京航天大学出版社,2007.[15]清源计算机工作室.Protel99SE原理图与PCB及仿真[M].北京:机械工业出版社出版,2007.[16]马忠梅,籍顺心,张凯,马岩.单片机的C语言应用程序设计(第4版)[M].北京:北京航天大学出版社,2007.32 附录1源程序#include#include#defineucharunsignedchar#defineulongunsignedlong#defineuintunsignedintsbitRS=P2^0;//数据/命令选择端(H/L)sbitRW=P2^1;//读/写选择端(H/L)sbitEN=P2^2;//使能端sbitwela=P2^3;//锁存器位选信号sbitP1_0=P1^0;sbitP1_1=P1^1;sbitP3_2=P3^2;sbitP3_3=P3^3;uchardatalcd[9];uchartable1[]="FRE";//字符表1uchartable2[]="0123456789";voidwrite_date(uchar);voidwrite_com(uchar);voiddelay(uint);voidinit();voidkeyscan();voiddisplay1();voiddisplay2();ucharnum,flag;ulongm,n,l;voidmain(){init();display1();while(1){keyscan();}}32 voidinit(){write_com(0x38);//显示模式设置delay(20);write_com(0x0f);//显示开/关及光标设置delay(20);write_com(0x06);//当写一个字符后地址指针加一,光标加一delay(20);num=0;m=0;l=0;}voidtimer0()interrupt1//定时器0中断{if(flag==0){TH0=(65536-10000)/256;TL0=(65536-10000)%256;num++;}if(flag==1){TH0=0;TL0=0;n++;}}voidjishu_1()interrupt3//计数器1中断{m++;TH1=0;TL1=0;}voidexter0()interrupt032 {}voidexter1()interrupt2{}voiddelay(uintx)//延时函数{uinta,b;for(a=x;a>0;a--)for(b=10;b>0;b--);}voidwrite_com(ucharcom)//写命令函数{wela=1;//锁存器位选打开P0=com;//向P0口写命令wela=0;//锁存器位选关闭RS=0;//以下部分跟据1602手册的时序图写出EN=0;RW=0;delay(10);EN=1;delay(10);EN=0;}voidwrite_date(uchardate)//写数据函数{wela=1;P0=date;wela=0;RS=1;EN=0;RW=0;delay(10);EN=1;32 delay(10);EN=0;}voidpinlv(){m=0;TMOD=0x51;TH0=(65536-10000)/256;TL0=(65536-10000)%256;TH1=0;TL1=0;EA=1;EX0=0;EX1=0;ET0=1;ET1=1;TR0=1;TR1=1;while(num!=1);TR0=0;TR1=0;num=0;l=m*65536+TH1*256+TL1;if(l>=10){l=l*100*200;}else{l=0;TMOD=0x90;EA=1;EX0=0;ET1=1;IT1=1;TH1=0;TL1=0;m=0;while(P3_3==1);32 while(P3_3==0);TR1=1;while(P3_3==1);while(P3_3==0);TR1=0;EX1=0;l=m*65536+TH1*256+TL1;l=1000000/l;l=l*200/2;if(l<=200){flag=1;l=0;TMOD=0x09;EA=1;EX0=1;EX1=0;ET0=1;//定时/计数器T0中断允许位IT0=1;//外部中断0触发方式控制位TH0=0;TL0=0;n=0;while(P3_2==1);while(P3_2==0);TR0=1;while(P3_2==1);while(P3_2==0);TR0=0;EX0=0;flag=0;l=n*65536+TH0*256+TL0;l=1000000/l;l=l/2;}}}voiddisplay1(){32 uchara;write_com(0x80);//第一行起始地址delay(10);for(a=0;a<3;a++){write_date(table1[a]);//读取字符表delay(10);}}voiddisplay2(){write_com(0x85);//数字位起始地址delay(10);write_date(table2[lcd[8]]);//读取字符表delay(10);write_date(table2[lcd[7]]);//读取字符表delay(10);write_date(table2[lcd[6]]);//读取字符表delay(10);write_date(table2[lcd[5]]);//读取字符表delay(10);write_date(table2[lcd[4]]);//读取字符表delay(10);write_date(table2[lcd[3]]);//读取字符表delay(10);write_date(table2[lcd[2]]);//读取字符表delay(10);write_date(table2[lcd[1]]);//读取字符表delay(10);write_date(table2[lcd[0]]);//读取字符表32 delay(10);}voidkeyscan(){if(P1_0==0){delay(55);if(P1_0==0){while(P1_0==0);delay(55);if(P1_0==1){pinlv();lcd[0]=l%10;lcd[1]=(l/10)%10;lcd[2]=(l/100)%10;lcd[3]=(l/1000)%10;lcd[4]=(l/10000)%10;lcd[5]=(l/100000)%10;lcd[6]=(l/1000000)%10;lcd[7]=(l/10000000)%10;lcd[8]=(l/100000000)%10;display2();}}}if(P1_1==0){delay(55);if(P1_1==0){while(!P1_1);delay(55);if(P1_1==1){l=0;32 lcd[0]=l%10;lcd[1]=(l/10)%10;lcd[2]=(l/100)%10;lcd[3]=(l/1000)%10;lcd[4]=(l/10000)%10;lcd[5]=(l/100000)%10;lcd[6]=(l/1000000)%10;lcd[7]=(l/10000000)%10;lcd[8]=(l/100000000)%10;display2();}}}}32 附录2设计PCB图32 附录3设计原理图32 32

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
大家都在看
近期热门
关闭