EDA智力竞赛抢答器

EDA智力竞赛抢答器

ID:43091003

大小:114.50 KB

页数:19页

时间:2019-09-27

EDA智力竞赛抢答器_第1页
EDA智力竞赛抢答器_第2页
EDA智力竞赛抢答器_第3页
EDA智力竞赛抢答器_第4页
EDA智力竞赛抢答器_第5页
资源描述:

《EDA智力竞赛抢答器》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA智力竞赛抢答器山东建筑人学课程设计说明书题n:智力抢答器的设计课程:EDA技术课程设计院(部):信息与电气工程学院专业:电了信息工程班级:电信102学生姓名:学号:指导教师:完成口期:2013年12月山东建筑大学信息与电气工程学院课程设计说明书1设计目的木次的EDA课程设计题U是智力抢答器的设计,通过此次设计巩固课堂学习的EDA基础知识,提高对所学知识的综合运用能力。2设计要求设计供4个代表队比赛用的科力抢答器,技术参数和设计要求:1系统复位和抢答控制开关。由主持人控制。2复位后,主持人宣读试题

2、,按下开始键,发动开始抢答命令,启动抢答限时计数器。若在按下开始按键前有人抢答,犯规电路将发出声光提示,显示犯规组号。3抢答器具冇锁存与显示功能。在限时内,选手按动按钮,锁存和应的编号,并在LED数码管上显示。若限时到,则声光显示。4抢答器具有定时抢答功能。如果定时吋间已到,无人抢答,木次抢答无效,系统报警并禁止抢答,定时显示器上显示00。1山东建筑大学信息与电气工程学院课程设计说明书3设计内容3.1.理论依据和需求分析:本次课程设计的内容是设计供4个代表队比赛用的智力抢答器,技术参数和设计要求:1系

3、统复位和抢答控制开关。由主持人控制。2复位后,主持人宣读试题,按F开始键,发动开始抢答命令,启动抢答限时计数器。若在按下开始按键前有人抢答,犯规电路将发出声光提示,显示犯规组号。3抢答器具有锁存与显示功能。在限时内,选手按动按钮,锁存相应的编号,并在LED数码管上显示。若限时到,则声光显示。4抢答器具有定时抢答功能。如果定时时间C到,无人抢答,木次抢答无效,系统报警并禁止抢答,泄时显示器上显示00。根据木次课程设计的耍求,木系统可以分为几个模块:抢答器的鉴别模块、计分模块、译码显示模块、其他功能模块(

4、报错模块、输出显示模块)。2山东建筑大学信息与电气工程学院课程设计说明书3.1.方案设计:在需求分析部分已经提到,根据木次课程设计的要求,本系统可以分为几个模块:抢答器的鉴别模块、计分模块、译码显示模块、其他功能模块(报错模块、输出显示模块)。即本系统应貝有第一抢答信号的鉴别和锁存、答题计时、动态显示和声光提示等功能。为了完成上述功能,该系统应由抢答鉴别和锁存电路、答题计时电路、数显骡动电路和声光驱动电路等组成。同样,我们可以将模块的划分用电路模块框图的方式表示出来,如下图所示:3山东建筑大学信息与电

5、气工程学院课程设计说明书3.2.程序编写与调试:①抢答鉴别模块的源程序抢答队伍共分为四组A,B,C,Do当主持人按下START键后,四组队伍才对以按抢答键抢答。抢答成功后表示该组的指示灯见亮起,但在主持人未按下START键之前,所冇的抢答键按下均是无效的。当任意-个组抢答成功后,其余的组按抢答键无效。抢答键为A,B,C,D四个键。1ibraryieee;useieee.stdlogic1164.all;ontityjbisport(sta:instcllogic;rst:instd_logic;a,b

6、,c,d:instd_logic;al,bl,cl,dl:outstd_logic;states:outstd_logic_vector(3downto0);start:outstd_logic);endentityjb;architectureartofjbisconstantwl:std_logic_vector:=〃0001";constantw2:std_logic_vector:二"0010〃;constantw3:stdlogicvector:二〃0100〃;constantw4:stdl

7、ogicvector:二〃1000〃;signalsinor:stdlogic;signalnsinor:stdlogic;signals_start:std_logic;beginsinor<=aorborcord;nsinor〈二not(aorborcord);start<=sstart;process(sta,nsinor)isbeginif(sta=,1')thensstart<=,r;elsif(nsinoreventandnsinoT)thens_start〈二'O';4山东建筑大学信息与

8、电气工程学院课程设计说明书endif;endprocess;process(rst,sta,sinor,nsinor)isbeginif(rst=,Torsta=,fornsinor=,1')thenalU'O';bl〈二'O';cl〈二'O';dl<二'O';elsif(sinor,eventandsinor=,T)thenif(s_start=,T)thenif(a=,r)thenal<=1';bl<=O';cl<=O';dl<=O'elsif(b=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。