Quartus II 使用方法

Quartus II 使用方法

ID:41892610

大小:1.28 MB

页数:116页

时间:2019-09-04

Quartus II 使用方法_第1页
Quartus II 使用方法_第2页
Quartus II 使用方法_第3页
Quartus II 使用方法_第4页
Quartus II 使用方法_第5页
资源描述:

《Quartus II 使用方法》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术与VHDL第二部分QiartusII使用方法4.1QuartusII设计流程1.创建工程准备工作图1选择编辑文件KONXIN4.1QuartusII设计流程1.创建工程准备工作图2选择编辑文件的语言类型,键入源程序并存盘4.1QuartusII设计流程2.创建工程图3利用“NewPrejectWizard”创建工程cnt101QuartusII设计流程2.创建工程图4将所有相关的文件都加入进此工程1QuartusII设计流程2.创建工程图5选择目标器件EP1C6Q240C81QuartusII设计流程3.编译前设置图6选择配置器件的工作方式1Qu

2、artusII设计流程3.编译前设置图7选择配置器件和编程方式图8全程编译后出现报错信息4.全程编译1QuartusII设计流程图9选择编辑矢量波形文件5.时序仿真1QuartusII设计流程图10波形编辑器5.时序仿真1QuartusII设计流程图11设置仿真时间长度5.时序仿真1QuartusII设计流程图12.vwf激励波形文件存盘5.时序仿真4.1QuartusII设计流程图13向波形编辑器拖入信号节点4.1.2创建工程1QuartusII设计流程图14设置时钟CLK的周期5.时序仿真1QuartusII设计流程图15设置好的激励波形图5.时序仿真

3、1QuartusII设计流程图16选择总线数据格式5.时序仿真1QuartusII设计流程图17选择仿真控制5.时序仿真1QuartusII设计流程图18仿真波形输出5.时序仿真1QuartusII设计流程图19选择全时域显示5.时序仿真1QuartusII设计流程图20AssignmentEditor编辑器6.观察RTL电路7.引脚锁定和下载1QuartusII设计流程图21表格方式引脚锁定对话框图7.引脚锁定和下载1QuartusII设计流程22图形方式引脚锁定对话框7.引脚锁定和下载1QuartusII设计流程图23选择编程下载文件8.编程下载1Qu

4、artusII设计流程图24加入编程下载方式8.编程下载1QuartusII设计流程图25双击选中的编程方式名8.编程下载1QuartusII设计流程图26ByteBlasterII接口AS模式编程窗口9.AS模式编程1QuartusII设计流程图27选择目标器件EP1C6Q24010.JTAG间接模式编程1QuartusII设计流程图28选定SOF文件后,选择文件压缩10.JTAG间接模式编程1QuartusII设计流程图29用JTAG模式对配置器件EPCS1进行间接编程10.JTAG间接模式编程2嵌入式逻辑分析仪图30SignalTapII编辑窗1.打

5、开SignalTapII编辑窗2嵌入式逻辑分析仪图31SignalTapII编辑窗2.调入待测信号3.SignalTapII参数设置2嵌入式逻辑分析仪图32下载cnt10.sof并准备启动SignalTapII4.文件存盘5.编译下载6.启动SignalTapII进行采样与分析2嵌入式逻辑分析仪图33SignalTapII数据窗设置后的信号波形6.启动SignalTapII进行采样与分析3编辑SignalTapII的触发信号图34选择高级触发条件3编辑SignalTapII的触发信号图35进入“触发条件函数编辑”窗口3编辑SignalTapII的触发信号图

6、36编辑触发函数4LPM_ROM宏模块应用图37正弦信号发生器结构框图4.1工作原理4LPM_ROM宏模块应用4.2定制初始化数据文件1.建立.mif格式文件【例4-1】WIDTH=8;DEPTH=64;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;CONTENTBEGIN0:FF;1:FE;2:FC;3:F9;4:F5;…(数据略去)3D:FC;3E:FE;3F:FF;END;4LPM_ROM宏模块应用4.2定制初始化数据文件1.建立.mif格式文件【例4-2】#include#include"math.h"main

7、(){inti;floats;for(i=0;i<1024;i++){s=sin(atan(1)*8*i/1024);printf("%d:%d;",i,(int)((s+1)*1023/2));}}把上述程序编译成程序后,可在DOS命令行下执行命令:romgen>sin_rom.mif;4LPM_ROM宏模块应用4.2定制初始化数据文件2.建立.hex格式文件图38将波形数据填入mif文件表中4LPM_ROM宏模块应用图39ASM格式建hex文件4LPM_ROM宏模块应用4.2定制初始化数据文件2.建立.hex格式文件图40sdata.hex文件的放

8、置路径4LPM_ROM宏模块应用4.3定制LPM_ROM元件图41

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。