quartus_II_教程

quartus_II_教程

ID:40811516

大小:1005.00 KB

页数:35页

时间:2019-08-08

quartus_II_教程_第1页
quartus_II_教程_第2页
quartus_II_教程_第3页
quartus_II_教程_第4页
quartus_II_教程_第5页
资源描述:

《quartus_II_教程》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、QuartusⅡ基础教程主要内容学习QuartusⅡ软件的基本使用方法和工程的基本设计流程通过简单的实例演示,熟悉QuartusⅡ软件的用户界面、常用工具和仿真流程2一、QUARTUSII概述QuartusII是美国Altera公司提供的用于可编程片上系统(SOPC)开发的综合开发环境,是进行SOPC设计的基础。集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真。一、QUARTUSII概述4二、QUARTUSII基本操作1、双击桌面上的QuartusII图标2、在开始菜单-

2、>Altera->QuartusII下单击QuartusII5QuartusII主界面工程向导状态窗口消息窗口用户编辑窗口61.指定新项目的路径及名称选择File

3、NewProjectWizard(1)指定项目路径(2)指定项目名称(3)项目顶层实体Entity名称注意:项目路径从根目录开始必须都是英文名称且不能包含空格;一般项目名称与顶层实体名称相同(4)点击Next72.添加所需的已有文件如果不需添加文件直接点击Next如果需添加文件点击此按钮,选择文件后点击Add83.为项目指定目标器件(1)选择器件系列(2)选择具体器件(

4、3)点击Next筛选列表94.指定所需第三方EDA工具指定设计/综合工具,常用的如DesignCompiler等等指定仿真工具,常用的如ModelSim等等指定时序分析工具,常用的如PrimeTime等等选择完成后点击Finish10三、输入文件设计常用的输入文件格式有以下几种:原理图(.bdf、.gdf、.bsf)VHDL(.vhd)VerilogHDL(.v)AHDL(.tdf)111.原理图设计法1)新建工程后,选择菜单:File>New>BlockDiagram/SchematicFile2)点击OK,创建原理图输入文件1

5、21.原理图设计法131.原理图设计法3)常用的打开器件库方法如下:图形编辑中双击鼠标点击按钮141.原理图设计法4)添加相应元件符号在图像编辑工作区中,连接原理图。半加器的原理图如下:151.原理图设计法原理图输入法-优缺点:优点:可以与传统的数字电路设计法接轨缺点:1)原理图设计法没有实现标准化;2)兼容性不好;3)不能实现真实意义上的自顶向下的设计方案。2.VHDL语言1)新建工程后,选择菜单:File>New>VHDLFile2)点击OK,创建VHDL格式的输入文件172.VHDL语言18QuartusⅡ编译器的主要任务是

6、对设计项目进行检查并完成逻辑综合,同时将项目最终设计结果生成下载文件。QuartusⅡ软件中的编译类型有全编译和分步编译两种。全编译的过程包括分析与综合、适配、编程、时序分析这4个环节,而这4个环节各自对应相应的菜单命令,可以分步执行,也就是分步编译。进行全编译的方法有2种:点击主窗口Process菜单下StartCompilation命令;在主窗口的工具栏上直接点击图标即可进行全编译。四、编译设计文件19分步编译就是使用相应命令分步执行相应的编译环节。分步编译跟全编译一样分为四步:1、分析与综合(Analysis&Synthes

7、is):对设计文件进行分析、检查输入文件是否有错,对应的菜单命令是主窗口Process菜单下StartStartAnalysis&Synthesis,对应的快捷图标是在主窗口的工具栏上的;2、适配(Fitter):在适配过程中,完成设计逻辑器件中的布局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,对应的菜单命令是主窗口Process菜单下StartStartFitter;四、编译设计文件20四、编译设计文件3、编程(Assembler):产生多种形式的器件编程映像文件,通过软件下载到目标器件当中去,对应的菜单命令是主

8、窗口Process菜单下StartStartAssembler;4、时序分析(ClassicalTimingAnalyzer):计算设计与器件上的延时,完成设计的时序分析和所有逻辑的性能分析,对应的菜单命令是主窗口Process菜单下StartStartClassicalTimingAnalyzer,对应的快捷图标是在主窗口的工具栏上的。编译完成后,会生成一份编译报告。全编译操作简单,适合简单的设计。对于复杂的设计,选择分步编译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。五、仿真设计文件仿真的目的就是在软件环境下,

9、验证电路的行为和设想中的是否一致。FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性;时序仿真则着重电路已经映射到特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。仿真一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。