FPGA-ARM通信代码

FPGA-ARM通信代码

ID:40535090

大小:36.50 KB

页数:7页

时间:2019-08-04

FPGA-ARM通信代码_第1页
FPGA-ARM通信代码_第2页
FPGA-ARM通信代码_第3页
FPGA-ARM通信代码_第4页
FPGA-ARM通信代码_第5页
资源描述:

《FPGA-ARM通信代码》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;----FPGA内部寄存器地址定义:package  interface isconstant addr_reg1 : std_logic_vector(9 downto 0) := "0000000000";constant addr_reg2 : std_logic_vector(9 downto 0) := "0000000001";constant addr_reg3 :

2、 std_logic_vector(9 downto 0) := "0000000010";constant addr_reg4 : std_logic_vector(9 downto 0) := "0000000011";end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use work.interface.all;entity arm_fpga isport(mcudata : inout std_logic_vec

3、tor(7 downto 0);--8位数据mcuaddress : in std_logic_vector(9 downto 0);--10位地址wr : in std_logic;--写信号rd : in std_logic;cs : in std_logic;led : out std_logic_vector(7 downto 0));end;architecture  armfpga of arm_fpga issignal  addresstemp : std_logic_vector(9 downto 0);--内部寄存器signal  datatemp1, dat

4、atemp2, datatemp3, datatemp4 : std_logic_vector(7 downto 0);signal  wr_en,rd_en : std_logic;--读写使能beginaddresstemp <= mcuaddress;wr_en <= (wr and rd) or wr;rd_en <= (wr and rd) or rd;--ARM写数据到FPGA:process(wr,wr_en)beginif  wr'event and wr = '0' thenif cs = '0'and  wr_en = '0' thencase address

5、temp iswhen addr_reg1 =>datatemp1 <= mcudata;when addr_reg2 =>datatemp2 <= mcudata;when addr_reg3 =>datatemp3 <= mcudata;when addr_reg4 =>datatemp4 <= mcudata;when others => null;end case;end if;end if;end process;--ARM从FPGA读取数据:process(rd,rd_en)beginif  rd'event and rd = '0'  thenif cs = '0'

6、 and rd_en = '0' thencase addresstemp iswhen addr_reg1 =>mcudata <= datatemp1;when addr_reg2 =>mcudata <= datatemp2;when addr_reg3 =>mcudata <= datatemp3;when addr_reg4 =>mcudata <= datatemp4;when others => null;end case;end if;end if;end process;led <= mcudata; --验证传输数据,LED显示end;-- mcufpga.v

7、hdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;----FPGA内部寄存器地址定义:package  interface isconstant addr_reg1 : std_logic_vector(9 downto 0) := "0000000000";constant addr_reg2 : std_logic_ve

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。