3、tor(7 downto 0);--8位数据mcuaddress : in std_logic_vector(9 downto 0);--10位地址wr : in std_logic;--写信号rd : in std_logic;cs : in std_logic;led : out std_logic_vector(7 downto 0));end;architecture armfpga of arm_fpga issignal addresstemp : std_logic_vector(9 downto 0);--内部寄存器signal datatemp1, dat
4、atemp2, datatemp3, datatemp4 : std_logic_vector(7 downto 0);signal wr_en,rd_en : std_logic;--读写使能beginaddresstemp <= mcuaddress;wr_en <= (wr and rd) or wr;rd_en <= (wr and rd) or rd;--ARM写数据到FPGA:process(wr,wr_en)beginif wr'event and wr = '0' thenif cs = '0'and wr_en = '0' thencase address