2位二进制数据比较器实验报告

2位二进制数据比较器实验报告

ID:39682180

大小:117.89 KB

页数:3页

时间:2019-07-09

2位二进制数据比较器实验报告_第1页
2位二进制数据比较器实验报告_第2页
2位二进制数据比较器实验报告_第3页
资源描述:

《2位二进制数据比较器实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、2位二进制数据比较器实验报告一实验目的 1.熟悉QuartusII软件的基本操作2.学习使用VerilogHDL进行设计输入3.逐步掌握软件输入、编译、仿真的过程二实验说明 本次实验是要设计一个2位的二进制数据比较器。该电路应有两个数据输入端口A、B,每个端口的数据宽度为2,分别设为A0、A1和B0、B1、A0、B0为数据低位,、B1为数据高位。电路的输出端口分别为EQ(A=B的输出信号)、LG(A>B时的输出信号)和SM(A

2、真值表输入信号输出信号A1A0B1B0EQLGSM0000100000100100100010011001010001001011000110001011100110000101001010101010010110011100010110101011100101111100逻辑表达式:EQ=A0∙A1∙B0∙B1+A0∙A1∙B0∙B1+A0∙A1∙B0∙B1+A0∙A1∙B0∙B1LG=A0∙B0∙B1+A0∙A1∙B0+A1∙B1SM=A0∙B0∙B1+AO∙A1∙BO+A1∙B1三实验要求 1、完

3、成2位二进制数据比较器的VerilogHDL程序代码输入并进行仿真2、采用结构描述方式和数据流描述方式3、完成对设计电路的仿真验证四、实验过程1程序代码(1)moduleyangying(A,B,EQ,LG,SM);input[1:0]A,B;outputEQ,LG,SM;assignEQ=(A==B)?1'b1:1'b0;assignLG=(A>B)?1'b1:1'b0;assignSM=(A

4、input[1:0]A,B;outputEQ,LG,SM;regEQ,LG,SM;always@(AorB)beginif(A==B)beginEQ<=1'b1;LG<=1'b1;SM<=1'b1;endelseif(A>B)beginEQ<=1'b1;LG<=1'b0;SM<=1'b0;endelsebeginEQ<=1'b0;LG<=1'b0;SM<=1'b1;endendendmodule2仿真结果五、实验体会通过2位二进制数据比较器的设计,使我们更加熟悉Quartus软件进行数字系统设计的步骤,

5、以及运用VerilogHDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。