Verilog inout 双向口使用和仿真

Verilog inout 双向口使用和仿真

ID:39469613

大小:38.50 KB

页数:5页

时间:2019-07-04

Verilog inout 双向口使用和仿真_第1页
Verilog inout 双向口使用和仿真_第2页
Verilog inout 双向口使用和仿真_第3页
Verilog inout 双向口使用和仿真_第4页
Verilog inout 双向口使用和仿真_第5页
资源描述:

《Verilog inout 双向口使用和仿真》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Veriloginout双向口使用和仿真芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'。当inout端口不输出时,将三态门置高阻。这样信号就不会因为两端同时输出而出错了,更详细的内容可以搜索一下三态门tri-state的资料.1使用inout类型数据,可以用如下写法:inoutdata_inout;inputdata_in;regdata_reg;          

2、              //data_inout的映象寄存器reglink_data;assigndata_inout=link_data?data_reg:1’bz;   //link_data控制三态门//对于data_reg,可以通过组合逻辑或者时序逻辑根据data_in对其赋值.通过控制link_data的高低电平,从而设置data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使用.link_data可以通过相关电路来控制.2编写测试模块时,对于inout类型的端口,需要定义成wire类型变量,而其它输入

3、端口都定义成reg类型,这两者是有区别的.当上面例子中的data_inout用作输入时,需要赋值给data_inout,其余情况可以断开.此时可以用assign语句实现:assigndata_inout=link?data_in_t:1’bz;其中的link,data_in_t是reg类型变量,在测试模块中赋值.另外,可以设置一个输出端口观察data_inout用作输出的情况:Wiredata_out;Assigndata_out_t=(!link)?data_inout:1’bz;else,inRTLinoutuseintopmodule(

4、PAD)dontuseinout(tri)insubmodule也就是说,在内部模块最好不要出现inout,如果确实需要,那么用两个port实现,到顶层的时候再用三态实现。理由是:在非顶层模块用双向口的话,该双向口必然有它的上层跟它相连。既然是双向口,则上层至少有一个输入口和一个输出口联到该双向口上,则发生两个内部输出单元连接到一起的情况出现,这样在综合时往往会出错。对双向口,我们可以将其理解为2个分量:一个输入分量,一个输出分量。另外还需要一个控制信号控制输出分量何时输出。此时,我们就可以很容易地对双向端口建模。例子:CODE:module

5、dual_port(....inout_pin,....);inoutinout_pin;wireinout_pin;wireinput_of_inout;wireoutput_of_inout;wireout_en;assigninput_of_inout=inout_pin;assigninout_pin=out_en?output_of_inout:高阻;endmodule可见,此时input_of_inout和output_of_inout就可以当作普通信号使用了。在仿真的时候,需要注意双向口的处理。如果是直接与另外一个模块的双向口连

6、接,那么只要保证一个模块在输出的时候,另外一个模块没有输出(处于高阻态)就可以了。如果是在ModelSim中作为单独的模块仿真,那么在模块输出的时候,不能使用force命令将其设为高阻态,而是使用release命令将总线释放掉很多初学者在写testbench进行仿真和验证的时候,被inout双向口难住了。仿真器老是提示错误不能进行。下面是我个人对inout端口写testbench仿真的一些总结,并举例进行说明。在这里先要说明一下inout口在testbench中要定义为wire型变量。先假设有一源代码为:modulexx(data_inout

7、,........);inoutdata_inout;........................assigndata_inout=(!link)?datareg:1'bz;endmodule方法一:使用相反控制信号inout口,等于两个模块之间用inout双向口互连。这种方法要注意assign语句只能放在initial和always块内。moduletest();wiredata_inout;regdata_reg;reglink;initialbegin..........endassigndata_inout=link?data_r

8、eg:1'bz;endmodule方法二:使用force和release语句,但这种方法不能准确反映双向端口的信号变化,但这种方法可以反在块内。moduletest

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。