ispDesignEXPERT中VHDL语言的设计方法

ispDesignEXPERT中VHDL语言的设计方法

ID:39341341

大小:104.51 KB

页数:9页

时间:2019-07-01

ispDesignEXPERT中VHDL语言的设计方法_第1页
ispDesignEXPERT中VHDL语言的设计方法_第2页
ispDesignEXPERT中VHDL语言的设计方法_第3页
ispDesignEXPERT中VHDL语言的设计方法_第4页
ispDesignEXPERT中VHDL语言的设计方法_第5页
资源描述:

《ispDesignEXPERT中VHDL语言的设计方法》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、ispDesignEXPERT中VHDL语言的设计方法ispDesignEXPERT开发系统提供了使用VHDL语言实现在系统可编程逻辑器件的应用设计的功能。VHDL设计输入的操作步骤Step1->在ispDesignEXPERTSystemProjectNavigator主窗口中,按File=>NewProject菜单建立一个新的工程文件,此时会弹出如下图所示的对话框,在该对话框中的ProjectType栏中,选择VHDL类型,然后,将该工程文件存盘为demo.syn。step2->在ispDesignEXPE

2、RTSystemProjectNavigator主窗口中,选择Source=>New菜单。在弹出的NewSource对话框中,选择VHDLModule类型。此时,软件会产生一个如下图所示的NewVHDLSource对话框:9在对话框的各栏中,分别填入如上图所示的信息。按OK钮后,进入文本编辑器-TextEditor编辑VHDL文件。Step3->在TextEditor中输入如下用VHDL描述的二十进制计数器的程序,存盘返回到ispDesignEXPERTSystemProjectNavigator项目引导器窗口

3、,输入的源程序文件demo.vhd显示在SourceinProject中。二十进制计数器程序及说明如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydemoisport(clk,clr,en:instd_logic;A,B,C,D,E:outstd_logic);enddemo;architecturedemo_archofdemoissignalcount:std_logic_vector(4downt

4、o0);beginA<=count(0);B<=count(1);C<=count(2);D<=count(3);E<=count(4);process(clk,clr)Begin9if(clr='0')then---清零(clr)信号有效时,count<="00000";计数器清零elsifrising_edge(clk)then---时钟信号的上升沿触发if(en='1')thenif(count="10011")then---计数到十进制的19时,再来count<="00000";一个计数时钟时,计数器从

5、零开始计数elsecount<=count+'1';---计数值加1endif;endif;endif;endprocess;enddemo_arch;step4->选中SourceinProject源程序区中的ispLSI1016-80LJ44,在主窗口右侧选择CompileDesign命令,编译文件demo.vhd后生成熔丝图文件(*.jed)。注:一般来讲,第一次输入的VHDL源程序时都可能存在语法和其他输入方面的错误,此时可选择菜单Tools=>SynplicitySynplifySynthesis,出

6、现如下窗口。选Add调入demo.vhd,然后对demo.vhd文件进行编译、综合。9若整个编译、综合过程无错误,该窗口在综合过程结束时会自动关闭。若在此过程中出错,双击上述Synplify窗口中SourceFiles栏中的demo.vhd文件进行修改并存盘,然后按RUN钮重新编译。Step5->编译和综合各步骤通过后,要形成可以将程序下载到实验板上的*.jed文件,为此,在文本编辑软件中编写一个引脚锁定文件(*.prn),将程序中的输入/输出信号与实验板上的ispLSI1016芯片的具体管脚一一对应。下面是d

7、emo中的二十进制计数器的引脚锁定文件demo.prn:In/out信号引脚属性芯片引脚号ClkIn11ClrIn14EnIn24AOut15BOut16COut17DOut18Eout19此后,打开编译设计文件的CompileDesign的CompileProperties对话框,在Pin文本框中输入引脚锁定文件Demo.prn,然后单击“确定”按钮,完成引脚锁定功能。step6->返回到ispDesignEXPERTSystemProjectNavigator项目引导器窗口,选中SourceinProjec

8、t源程序区中的ispLSI1016-80LJ44,在主窗口右侧选择CompileDesign命令,编译文件demo.vhd后生成用于下载的熔丝图文件demo.jed。下载熔丝图文件为了下载熔丝图文件到实验板的ispLSI1016-80PLCC44芯片中,执行如下操作:1、检查实验板的编程接口电缆是否已连接到计算机的并行接口处,如未连好,文件存盘后关闭计算机,将接口电缆连接好后开机;2、

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。