EDA用VHDL语言设计一个2-4译码器

EDA用VHDL语言设计一个2-4译码器

ID:38979949

大小:39.01 KB

页数:4页

时间:2019-06-22

EDA用VHDL语言设计一个2-4译码器_第1页
EDA用VHDL语言设计一个2-4译码器_第2页
EDA用VHDL语言设计一个2-4译码器_第3页
EDA用VHDL语言设计一个2-4译码器_第4页
资源描述:

《EDA用VHDL语言设计一个2-4译码器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、2-4译码器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYdecoder2_4ISPORT(a:INSTD_LOGIC_VECTOR(1DOWNTO0);s:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDdecoder2_4;ARCHITECTUREBehavioralOFdecoder2_4_tISBEGINPROCESS(sel)BEGINCASEaISWHEN"00"=>s

2、<=”0001”;WHEN"01"=>s<=”0010”;WHEN"10"=>s<=”0100”;WHEN"11"=>s<=”1000“;WHENOTHERS=>s<=’’0000”ENDCASE;ENDPROCESS;ENDBrhavioral;4选1数据选择器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYsel4ISPROT(d:INSTD_LOGIC_VECTOR(3DOWNTO0);a:INS

3、TD_LOGIC_VECTOR(1DOWNTO0);s:OUTSTD_LOGIC);ENDsel4:ARCHITECTUREBehavioralOFsel4ISBEGINROCESS(a,d)BEGINCASEaISWHEN"00"=>s<=d(0)WHEN"01"=>s<=d(1)WHEN"10"=>s<=d(2)WHEN"11"=>s<=d(3)“;WHENOTHERS=>s<=’Z’ENDCASE;ENDPROCESS;ENDBrhavioral;100进制加法计数器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIE

4、EE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcounterISPORT(clk,en,load,rst:INSTD_LOGICd;INSTD_LOGIC_VECTOR(6DOWNTO0);q:OUTSTD_LOGIC_VECTOR(6DOWNTO0):ENDcounter100;ARCHTECTUREBehavioralOFcounter100ISsignalqtemp:STD_LOGIC_VECTOR(6DOWNTO0);BEGINPROCESS(clk,d,en,load,rst)

5、BEGINIFrst=’1’THENqtemp<=”00000000”;ELSIFrising-edge(clk)THENIFen=’1’THENIFload=’1’THENqtemp<=d,ELSIFqtemp=”1100011”THENqtemp<=”00000000”;ELSIFqtemp<=qtemp+’1;ENDIF;ENDIF;ENDIF;ENDPROCESS;q<=qtemp;ENDBehavioral;8位从高至低串入串出移位寄存器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC

6、_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYshift.registerISPORT(d,clk:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDdshift.register;ARCHITECTUREBehavioralOFshift.registerISsignalqtemp:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(d,clk)BEGINIFrising-edge(clk)THENq<=dtemp(0);dtemp<=d&dtemp(7DOWNTO1)

7、;ENDIF;ENDPROCESS;ENDBehavioral;状态机LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYfsmISPORT(tin,clk:INSTD_LOGIC;yout:OUTSTD_LOGIC_VECTOR(1DOWNTO0));ENDfsm;ARCHITECTUREBehavioralOFfsmISTYPEstate-typeIS(S0,S1,S2)signalstate,next

8、_state:state_type;B

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。