eda课程设计--用vhdl语言实现数字钟的设计

eda课程设计--用vhdl语言实现数字钟的设计

ID:12316745

大小:2.39 MB

页数:51页

时间:2018-07-16

eda课程设计--用vhdl语言实现数字钟的设计_第1页
eda课程设计--用vhdl语言实现数字钟的设计_第2页
eda课程设计--用vhdl语言实现数字钟的设计_第3页
eda课程设计--用vhdl语言实现数字钟的设计_第4页
eda课程设计--用vhdl语言实现数字钟的设计_第5页
资源描述:

《eda课程设计--用vhdl语言实现数字钟的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、用VHDL语言实现数字种的设计用VHDL语言实现数字钟的设计2011年12月21日一、EDA课程设计的目的与任务(一)、掌握利用可编程逻辑器件和EDA设计工具进行电子系统设计的方法,内容包括:(1)VHDL程序设计、输入——在ise平台上用VHDL描述系统的功能(2)逻辑综合——将源程序编译后,为设计系统选择一个电路实现方案,按照这个方案进行逻辑综合和优化,生成1个电路网表文件(3)功能仿真——检查自己的设计是否达到和完成要求的逻辑功能(4)设计实现——布局、布线及配置,最后生成可以写到芯片中的目标文件(5)时序仿真——是适配到选定的芯片后进

2、行的仿真,它模拟芯片的实际动作,仿真时间模型严格将门级延时计算在内,可以分析出竞争与冒险,时序仿真验证过的电路与实际电路基本上已致。(6)器件编程——对器件编程下载(7)测试二、EDA课程设计的要求设计实现一个具有带预置数的数字钟,具有显示年月日时分秒的功能。用6个数码管显示时分秒,set按钮产生第一个脉冲时,显示切换年月日,第2个脉冲到来时可预置年份,第3个脉冲到来时可预置月份,依次第4、5、6、7用VHDL语言实现数字种的设计个脉冲到来时分别可预置日期、时、分、秒,第8个脉冲到来后预置结束,正常工作,显示的是时分秒。Up为高电平时,upc

3、lk有脉冲到达时,预置位加1.否则减1,还可以在此基础上增加其它功能。用VHDL语言实现数字种的设计用VHDL语言实现数字钟的设计摘要随着IT行业的不断发展EDA技术在很多行业得到了广泛的应用,在很多大学也开设了相应的课程,但只有理论知识不足以应对实际项目的开发,不足以胜任更加庞大的系统开发。本次课程设计旨在提高学生的实际动手能力和解决问题的能力。本文在该项目的实际设计中,就整体框架的设计,软件的开发,仿真,下载,调试等过程进行了一一验证。在数字钟的设计中应用了元件例化的整体思路实现,实现过程可分为分频,时分秒计数,时分秒置数,年月日计数,年

4、月日置数共五个部分,其中在年月日的计数中应用状态机的计数方法实现设计。关键字:VHDL,元件例化,数字钟用VHDL语言实现数字种的设计目录一、EDA课程设计的目的与任务····························Ⅰ二、EDA课程设计的要求··································Ⅱ三、摘要···············································Ⅲ第一章系统方案·········································11.1设计思路······

5、·······································第二章各个模块实现······································用VHDL语言实现数字种的设计2.1分频模块··············································2.2时分秒计数模块········································2.3时分秒置数模块········································2.4年月日计数模块··········

6、······························2.5年月日置数模块········································2.6元件例化整体模块······································第一章整体电路图·········································3.1quartus生成的整体电路图······························用VHDL语言实现数字种的设计第一章课程设计总结························

7、················第二章实验代码···········································5.1实验代码··············································第一章系统方案1.1设计思路VHDL数字钟的设计可采用多种设计方法,各个设计方法各有其优缺点。一,采用一个结构体,多个进程的设计方法。其优点是速度快,但是一个结构体,各个进程的逻辑关系比较复杂,而且代码的可读性,可移植性较差。二,状态机的设计方法,状态机结构简单,当各个状态之间的转换不易处理。三,元件例化的设

8、计方法,元件例化使各个模块之间分得更加有层次,易于读,缺点有可能使各个模块之间存在逻辑关系的冲突。本此设计,主要采用了元件例化的设计方法,在年月日计数模块采用了状态

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。