八路抢答器课设报告(VHDL-EDA)

八路抢答器课设报告(VHDL-EDA)

ID:38613704

大小:265.00 KB

页数:24页

时间:2019-06-16

八路抢答器课设报告(VHDL-EDA)_第1页
八路抢答器课设报告(VHDL-EDA)_第2页
八路抢答器课设报告(VHDL-EDA)_第3页
八路抢答器课设报告(VHDL-EDA)_第4页
八路抢答器课设报告(VHDL-EDA)_第5页
资源描述:

《八路抢答器课设报告(VHDL-EDA)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、存档资料成绩: 华东交通大学理工学院课程设计报告书所属课程名称《EDA技术及应用》课程设计题目八路抢答器课程设计          分院电信分院   专业班级10电信2班学  号20100210410201        学生姓名陈晓娟        指导教师李房云   2013年1月11日24目 录第一章EDA技术简介3第二章八路抢答器设计目的和任务要求4第三章方案设计和系统结构5第四章抢答器的电路设计9第五章抢答器的设计实现13第六章设计心得22参考文献2324第一章EDA技术简介EDA代表了当今电子设

2、计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同

3、软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。  EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计进行八路抢答器的系统,大量工作可以通

4、过计算机完成,并可以将抢答器从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。24现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。第二章八路抢答器设计目的和任务要求2.1设计目的1.学习集成开发软件MAX+plusII/QuartusII的使用及设计过程。2.熟悉EDA工具设计数字电路设计方法,掌握VHDL硬件描述语言设计方法。3.设计一

5、个可容纳8组参赛的数字式竞赛抢答器。2.2设计具体任务和要求一、设计任务抢答器接通电源后,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯。抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:1.优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;2.扬声器发出短暂声响,提醒主持人注意;243.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;4.当选手将问题回答完毕,主持人操作计分开关

6、,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。二、具体要求1、报告中要包含抢答器总体框图;2、报告中包含电路设计总图;3、分出个部分细节,编程按照模块来编程(大致包含鉴别抢答模块、数据选择莫款、译码模块、计时模块等);4、要做出仿真结果图。第三章方案设计和系统结构3.1方案设计课设分成4个模块进行设计,分别为:鉴别抢答器模块、数据选择模块、抢答器计时模块、译码模块。1.鉴别抢答器模块:24在这个模块中主要实现抢答过程中的抢答功能

7、,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。其中有八个抢答信号s1、s2、s3、s4、s5、s6、s7、s8;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。2.数据选择模块:在这个模块中主要实现抢答过程中的数据输入功能,输入信号a[3..0]、b[3..0]、c[3..0];计数输出信号s;数据输出信号y;计数脉冲clk2,实现a、b、c按脉冲轮流

8、选通,在数码管上显示。3.译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。4.抢答器计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行30秒的倒计时,并且在30秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk2;系统复位信号rst;抢答使能信号s;抢答状态显示信号states;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。3.2系统

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。