用FPGA实现直接序列扩频通信

用FPGA实现直接序列扩频通信

ID:38264597

大小:704.15 KB

页数:5页

时间:2019-05-24

用FPGA实现直接序列扩频通信_第1页
用FPGA实现直接序列扩频通信_第2页
用FPGA实现直接序列扩频通信_第3页
用FPGA实现直接序列扩频通信_第4页
用FPGA实现直接序列扩频通信_第5页
资源描述:

《用FPGA实现直接序列扩频通信》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第29卷第4期内蒙古农业大学学报Vol.29No.42008年12月Dec.2008JournalofInnerMongoliaAgriculturalUniversity3用FPGA实现直接序列扩频通信1234孙嘉鹏,张杰,程浩,张昱(1.内蒙古电子信息职业技术学院电子工程系,呼和浩特010011;2.内蒙古农业大学计算机与信息工程学院,呼和浩特010018;3.郑州大学电气工程学院,郑州450001;4.北京建筑工程学院,北京100044)摘要:扩频通信作为第3代移动通信的核心技术,系统需要完成快速复杂的信号处理,对电路的处理速度提出了更

2、高的要求。本文以直接序列扩频通信为对象,用软件Protel99SE设计了以FPGA芯片EP1C3T144C8N为核心的系统硬件电路,并将VHDL程序下载到硬件电路的EP1C3T144C8N芯片中,得到了实际的较为满意的扩频信号波形,证明实现了扩频调制。实验结果表明本系统与传统的实现方式相比,系统的稳定性、可靠性和处理速度大大提高,减少了硬件延时,体现了FPGA的高速并行处理能力和全硬件实现的特点。关键词:扩频通信;FPGA;伪随机序列中图分类号:TP315文献标识码:A文章编号:1009-3575(2008)04-0239-05REALIZI

3、NGTHEDIRECTSEQUENCESPREADSPECTRUMCOMMUNICATIONWITHFPGA(1.CollegeofElectronicEngineering,InnerMongoliaCollegeofelectronicinformation,Huhhot010011,China;2.CollegeofComputer&InformationEngineering,InnerMongoliaAgriculturalUniversity,Huhhot010018,China;3.CollegeofElectricalEngi

4、neeringofZhengzhouUniversity,Zhengzhou450001,China;4.BeijingUniversityofCivilEngineeringandArchitecture,Beijing100044,China)Abstract:SpreadSpectrumCommunicationasathird-generationmobilecommunicationstechnology,thesystemneedstobedonefastandcomplexsignalprocessing,thecircuith

5、assetahigherprocessingspeed.Basedondirectsequencespreadspectrumcommuni2cations,targetingProtel99SEsoftwaredesignedtoEP1C3T144C8NchipFPGAatthecoreofthesystemhardwarecircuit,theproce2duresVHDLanddownloadedtothehardwarecircuitchipEP1C3T144C8N,havebeenmoresatisfiedwiththeactual

6、spreadspectrumsignalWavethathasspread-spectrummodulation.Theresultsshowthatthesystemwiththetraditionalmethod,systemstability,reliabilityandgreatlyincreasedprocessingspeedandreducedhardwaredelay,reflectingtheFPGAhigh-speedparallelprocessinghardwaretoachievefullcapacityandfea

7、tures.Keywords:Spreadspectrumcommunication;FPGA;pseudo-randomsequence〔1,2〕扩频通信,即扩展频谱通信技术(Spread并能提供可行的方法来满足不断变化的标准要〔3〕SpectrumCommunication),它与光纤通信、卫星通信求。一同被誉为进入信息时代的3大高技术通信传输方本文通过对扩频通信系统的仔细研究,对本系式。统进行了整体分析后,划分出了各个功能模块,然后由于FPGA在性能、成本、灵活性和功耗等方面在QuartusIIFPGA设计开发平台上完成软件设计,的优势

8、,基于FPGA的信号处理器已广泛应用于各最后完成系统的硬件电路。种信号处理领域。FPGA提供了极强的灵活性,可让设计者开发出满足多种标准的产品。FPGA所固有1扩频

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。