verilog实现数字跑表

verilog实现数字跑表

ID:37898805

大小:720.50 KB

页数:7页

时间:2019-06-02

verilog实现数字跑表_第1页
verilog实现数字跑表_第2页
verilog实现数字跑表_第3页
verilog实现数字跑表_第4页
verilog实现数字跑表_第5页
资源描述:

《verilog实现数字跑表》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、试验:数字跑表功能描述:此数字跑表由三个按键控制,按键功能如下:SW1:实现暂停、开始以及数据的保存SW2:实现清零SW3:实现已保存数据的显示源程序如下:毫秒分频:消抖程序各个led计数暂停、开始控制已存数据显示控制已存数据的显示无控制条件下的显示控制数据保存控制

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。