stm32_can波特率设置指南

stm32_can波特率设置指南

ID:37713020

大小:35.50 KB

页数:5页

时间:2019-05-29

stm32_can波特率设置指南_第1页
stm32_can波特率设置指南_第2页
stm32_can波特率设置指南_第3页
stm32_can波特率设置指南_第4页
stm32_can波特率设置指南_第5页
资源描述:

《stm32_can波特率设置指南》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、STM32的CAN波特率计算STM32里的CAN支持2.0A,2.0B,带有FIFO,中断等,这里主要提一下内部的时钟应用.bxCAN挂接在APB1总线上,采用总线时钟,所以我们需要知道APB1的总线时钟是多少.我们先看看下图,看看APB1总线时钟:APB1时钟取自AHB的分频,而AHB又取自系统时钟的分频,系统时钟可选HSI,HSE,PLLCLK,这个在例程的RC设置里都有的,然后再看看有了APB1的时钟后,如何算CAN的总线速率,先看下图:有了上边的这个图,基本就清楚了.                      总线时钟MHz  (3+TS1+TS2)*(BRP+1)=====

2、==============================================下面是我的计算:CAN_InitStructure.CAN_SJW=CAN_SJW_1tq;CAN_InitStructure.CAN_BS1=CAN_BS1_3tq;注意//#defineCAN_BS1_3tq                ((uint8_t)0x02)/*!<3timequantum*/CAN_InitStructure.CAN_BS2=CAN_BS2_5tq;CAN_InitStructure.CAN_Prescaler=4;//2nominalbittime(3+5+

3、1)tq=9tq关于分频系数查看system_stm32f10x.c下面的staticvoidSetSysClockTo72(void)函数/*HCLK=SYSCLK*//*PCLK2=HCLK*//*PCLK1=HCLK/2*/所以can时钟72MHZ/2/4=9Mhztq=1/36Mhz波特率为1/nominalbittime=9/9=1MHZ=========================================-----------------------------------------------             ===================

4、=================================voidCAN_Configuration(void){CAN_InitTypeDef        CAN_InitStructure;CAN_FilterInitTypeDef  CAN_FilterInitStructure;/*CANregisterinit*/CAN_DeInit();CAN_StructInit(&CAN_InitStructure);/*CANcellinit*/CAN_InitStructure.CAN_TTCM=DISABLE;CAN_InitStructure.CAN_ABOM=DI

5、SABLE;CAN_InitStructure.CAN_AWUM=DISABLE;CAN_InitStructure.CAN_NART=DISABLE;CAN_InitStructure.CAN_RFLM=DISABLE;CAN_InitStructure.CAN_TXFP=DISABLE;CAN_InitStructure.CAN_Mode=CAN_Mode_Normal;CAN_InitStructure.CAN_SJW=CAN_SJW_1tq;CAN_InitStructure.CAN_BS1=CAN_BS1_9tq;CAN_InitStructure.CAN_BS2=CAN_

6、BS2_8tq;CAN_InitStructure.CAN_Prescaler=200;CAN_Init(&CAN_InitStructure);/*CANfilterinit*/CAN_FilterInitStructure.CAN_FilterNumber=0;CAN_FilterInitStructure.CAN_FilterMode=CAN_FilterMode_IdMask;CAN_FilterInitStructure.CAN_FilterScale=CAN_FilterScale_16bit;CAN_FilterInitStructure.CAN_FilterIdHig

7、h=0x0000;CAN_FilterInitStructure.CAN_FilterIdLow=0x0000;CAN_FilterInitStructure.CAN_FilterMaskIdHigh=0x0000;CAN_FilterInitStructure.CAN_FilterMaskIdLow=0x0000;CAN_FilterInitStructure.CAN_FilterFIFOAssignment=0;CAN_FilterInitStruct

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。