用verilog语言编写交通灯程序

用verilog语言编写交通灯程序

ID:37707351

大小:86.56 KB

页数:8页

时间:2019-05-29

用verilog语言编写交通灯程序_第1页
用verilog语言编写交通灯程序_第2页
用verilog语言编写交通灯程序_第3页
用verilog语言编写交通灯程序_第4页
用verilog语言编写交通灯程序_第5页
资源描述:

《用verilog语言编写交通灯程序》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、.交通灯一、实验目的写一个交通灯,要求:①有东西南北四个方向,两组交通灯轮流交替变换,其中,红灯时间为30个时间单位,绿灯时间为25个时间单位,黄灯时间为5个时间单位。最后用modelsim软件进行仿真。②要求设计是一个可综合设计。二、实验原理根据实验要求的逻辑功能描述,可以分析得出原理图如下:控制器即可以设计为一个有限状态机的形式:E-W方向S-N方向状态RYGRYG100100IDLE100001S1100010S2001100S3010100S4根据实验要求画出控制器的状态转移图如下:..一、代码1、源代码(1)控制器模块moduletraffic_l

2、ights(clk,rst,count,ew,sn);inputclk,rst;input[5:0]count;output[2:0]ew,sn;reg[2:0]ew,sn;reg[3:0]state;parameterIdle=3'b000,s1=3'b001,s2=3'b010,s3=3'b011,s4=3'b100;always@(posedgeclk)if(!rst)beginstate<=Idle;endelsecasex(state)Idle:if(rst)beginstate<=s1;ends1:if(count=='d25)beginstat

3、e<=s2;ends2:if(count=='d30)beginstate<=s3;end..s3:if(count=='d55)beginstate<=s4;ends4:if(count=='d60)beginstate<=s1;endendcasealways@(posedgeclk)beginif(!rst)beginew<=3'b100;sn<=3'b100;endelsecasex(state)Idle:if(rst)beginew<=3'b100;sn<=3'b001;ends1:if(count=='d25)beginew<=3'b100;sn

4、<=3'b010;ends2:if(count=='d30)begin..ew<=3'b001;sn<=3'b100;ends3:if(count=='d55)beginew<=3'b010;sn<=3'b100;ends4:if(count=='d60)beginew<=3'b100;sn<=3'b001;enddefault:state<=Idle;endcaseendendmodule(2)计数器模块modulecounter(en,clk,rst,out);output[5:0]out;inputen,clk,rst;reg[5:0]out;alwa

5、ys@(posedgeclkornegedgerst)beginif(!rst)out<='d0;elseif(!en&&out<'d60)out<=out+1;..elseout<='d1;endendmodule(3)将控制器与计数器进行连接moduletraffic_lights_top(out,clk,rst,en,ew,sn);inputclk,rst,en;output[2:0]ew,sn;output[5:0]out;wire[5:0]out;traffic_lightsu1(.clk(clk),.rst(rst),.count(out),.e

6、w(ew),.sn(sn));counteru2(.en(en),.clk(clk),.rst(rst),.out(out));endmodule2、激励`timescale1ns/100psmoduletraffic_lights_tb;..regclk,rst,en;wire[2:0]ew,sn;wire[5:0]out;traffic_lights_topm(.clk(clk),.rst(rst),.en(en),.ew(ew),.sn(sn),.out(out));always#5clk=~clk;initialen<=1;initialbeginc

7、lk<=1;en<=0;rst<=0;#5rst<=1;endendmodule一、仿真波形..(图一)(图二)五、波形说明波形图中,从上至下依次为:时钟信号clk、复位信号rst、计数器使能端en、东西方向上灯的状态ew、南北方向上灯的状态sn、计数器的输出out。该程序实现的功能是在一个十字路口的交通灯的轮流交替变换:状态方向灯的状态0——2525——3030——5555——60东西方向ew红红绿黄南北方向sn绿黄红红图一可以完整的看到60个时间单位内两个方向上灯交替的状况图二可以清楚的看到在时间从0——30过程中灯的跳变时间和结果。一、实验过程中遇到的

8、问题及解决方法1..、在实验过程中得到的波形图跟我设

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。