Quartus II 与 ModelSim 功能仿真与后仿真扫盲

Quartus II 与 ModelSim 功能仿真与后仿真扫盲

ID:37659074

大小:325.50 KB

页数:8页

时间:2019-05-27

Quartus II 与 ModelSim 功能仿真与后仿真扫盲_第1页
Quartus II 与 ModelSim 功能仿真与后仿真扫盲_第2页
Quartus II 与 ModelSim 功能仿真与后仿真扫盲_第3页
Quartus II 与 ModelSim 功能仿真与后仿真扫盲_第4页
Quartus II 与 ModelSim 功能仿真与后仿真扫盲_第5页
资源描述:

《Quartus II 与 ModelSim 功能仿真与后仿真扫盲》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、http://www.elecfans.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.comQuartusII与ModelSim功能仿真与后仿真扫盲本文主要描述了如何在QUARTUSII中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。工具:QuartusII5.0sp1ModelSimSE6.1b芯片:MAXII:EMP57

2、0T100I5语言:VerilogHDL程序功能:太简单了,自己看源程序吧源程序:modulesim(reset,clk_in,clk_o1,clk_o2);inputreset,clk_in;outputclk_o1,clk_o2;regclk_o2;assignclk_o1=clk_in;always@(negedgeresetorposedgeclk_in)//beginif(!reset)clk_o2=0;elseclk_o2=~clk_o2;endendmodule测试程序:`times

3、cale1ns/1nsmoduletestsim;regreset,clk_in;wireclk_o1,clk_o2;simaa(.reset(reset),.clk_in(clk_in),.clk_o1(clk_o1),.clk_o2(clk_o2));//simaa(reset,clk_in,clk_o1,clk_o2);always#5000clk_in=~clk_in;initialbeginclk_in=0;reset=0;#10000;第1页共8页http://www.elecfans

4、.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.comreset=1;#1000000$stop;endendmodule测试程序注意:例化时,最好用名称关联的方法进行例化,我曾试过用位置关联的方法,但后仿真不正常。不知道是不是Modelsim在语法上的要求。一、程序输入与网表、延时文件的生成,相关库文件的拷贝在建立QII源文件时,选择modelsim作为仿真工具,这个不详说了。输入文件sim.v,t

5、estsim.v,开始编译StartComplation源文件在QII的工程目录sim下,下图为生成的网表文件及延时文件,在目录QII工程simsimulationmodelsimwork,其中,maxii_atoms.v是MAXII系列CPLD的库文件,在QII安装目录quartus50edasim_lib下拷贝过来,同时,将sim工程目录下的测试文件testsim.v拷到该目录,为后仿真做准备。第2页共8页http://www.elecfans.com电子发烧友http://bbs

6、.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.com二、前仿真1、选择QII工程目录sim为当前工作目录2、编译源文件sim.v,testsim.v第3页共8页http://www.elecfans.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.com3、新建一个work库4、编译源文件sim.v,testsim.v第4页共8页http:/

7、/www.elecfans.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.com5、将信号加到波形窗口6、点击RUNALL按钮,进行仿真,功能仿真完成第5页共8页http://www.elecfans.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.com三、后仿真1、前面已经生成相关网表、延时文件,并已经

8、拷贝需要的文件了现在,将工作目录变换到生成的网表文件、延时文件所在目录,这里为..sim/simulation/modelsim并新建一个工作库,与功能仿真一样操作。2、编译以下三个文件第6页共8页http://www.elecfans.com电子发烧友http://bbs.elecfans.com电子技术论坛WrittenbyYangYHEmail:yangyh80@21cn.com3、选择菜单simulateÆstartsimulation选择SDF卡片,点击browse,选择

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。