嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步

嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步

ID:37456288

大小:3.61 MB

页数:164页

时间:2019-05-11

嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步_第1页
嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步_第2页
嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步_第3页
嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步_第4页
嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步_第5页
资源描述:

《嵌入式SoC系统设计第5-8章ISE开发进阶、SoC原理与技术基础、基于SystemGenerator的、基于FPGA的可编程嵌入式开发初步》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第五章ISE开发进阶IPCore的使用用户IPCore的生成用户约束文件(UCF)引脚配置时序约束面积约束ISEimplementdesign配置静态时序分析与布局布线后仿真功耗分析FPGA的配置IPCore的使用ISE提供的IPCore面向复杂设计的软核ISE版本的适用性XilinxFPGA芯片的适用性系统IPCore的生成在ISE的Project中直接生成ISE->Accessories->CoreGeneratorISE->Accessories->ArchitectureWizardIP参数化设计IPCore的使用方法1:定制ISE中一个已有的IPCore小写IPCore的使

2、用IPCore的使用定制同步FIFOCore为例IPCore使用说明IPCore的使用生成点击GenerateISE的ModuleView窗口中出现所生成的IPCoreIPCore的使用例化生成IPCore后,工程所在文件夹下产生下列文件.xco是IPCore配置文件例化的时候识别.xco.edn是网表文件.v和.vhd是模块的封装源代码IPCore的使用方法2:CoreGeneratorIPCore的使用配置芯片配置IPCore的使用配置生成配置IPCore的使用配置高级配置IPCore的使用方法2:ArchitectureWizardIP复杂的IPCore生成,如DCMIPCor

3、e的使用例化产生的关键文件.ucf是约束文件.xaw是二进制的Core文件(例化时和.xco的使用类似).v是模块的封装源代码.ngc二进制网表文件(根据综合选项不同而生成)IPCore的使用IPCore端口声明ViewHDLFunctionalModelIPCore的使用IPCore的说明IPCore生成后,添加到工程中被认为是黑盒子,不会被重新综合;IPCore的可移植性与ISE的版本和芯片类型有关;部分IPCore是付费使用的。用户IPCore的生成如何将自己的代码封装成一个IPCore?可以提供给他人使用,但不想被篡改或者开源方法:提供verilogwrapper文件(.v文

4、件),只提供端口描述和参数;提供.edn文件或者.ngc文件等同名的网表文件。用户约束文件(UCF)UCF是项目实现不可缺少的部分UCF生成方法1NewSouce->ImplementationConstraintsFile模块关联非常重要用户约束文件(UCF)UCF生成方法2UserConstraints用户约束文件(UCF)UCF主要三个组成部分引脚约束:引脚位置和类型配置;面积约束:模块的布局布线区域配置;时序约束:时钟约束、关键路径约束等。UCF语法:类似于一种脚本语言{NET

5、INST

6、PIN}“Signal_name”Attribute图形工具:PACE编辑器,约束编辑器

7、参见教材4.4节自行学习用户约束文件(UCF)引脚约束用户约束文件(UCF)引脚约束引脚的参数可以进一步配置用户约束文件(UCF)引脚约束配置成功后,打开.ucf文件用户约束文件(UCF)面积约束将Logic的模块指定到芯片的某个区域编辑一般不需要用户约束文件(UCF)时钟约束全局约束必选,主要针对全局时钟引脚时序约束padtopad,clocktopad高级约束分组约束,高级时序约束特定约束特定约束(较少使用)语法规则较为复杂用户约束文件(UCF)重新查看.ucf文件用户约束文件(UCF)关于UCF文件的几点说明UCF语法较为复杂,需要确切知道含义;图形界面的选项可以自动转换为UC

8、F语句;如果要在FPGA上实现,时钟约束、引脚约束必填;UCF通常关联顶层模块,综合选项中默认包含;UCF引脚配置要非常小心,严格遵照PCB图和芯片手册的要求,否则可能烧毁昂贵的FPGA芯片;某些特殊引脚约束或者复杂约束,只能通过UCF语句来生成;UCF编写不正确,无法进行综合以后的后续步骤。ISEimplementdesign配置Implement在综合之后执行,需要有.ucf文件包括Translate、Map、Place&Route属性卡可以集中修改,也可以分项修改每个步骤都会生成分析报告详细属性配置参考教材4.3.3节ISEimplementdesign配置Translate属

9、性通常使用默认属性生成.ngd文件所包含的三个工具较少使用ISEimplementdesign配置Translate报告ISEimplementdesign配置Map属性通常使用默认属性主要需要调整的属性:LUT输入面积与速度IOB所包含的四个工具较少使用ISEimplementdesign配置Map报告(很长,关注以下几个部分)ISEimplementdesign配置Place&Route属性主要需要调整的属性:布线努力程度运行开销表部分工具比较重要IS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。